SlideShare une entreprise Scribd logo
1  sur  23
Télécharger pour lire hors ligne
TM
Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009
Verification of the QorIQ™ Communication
Platform Containing CoreNet™ Fabric with
SystemVerilog
Sakar Jain & Robert Page
Freescale Semiconductor Austin Texas
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 2
Agenda
 Introduction
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 3
Introduction
 QorIQ™ (pronounced 'core eye-queue' ) Overview
 Communication Processors for networking applications
 Multi-core with tri-level cache hierarchy
 Intended for combined control, data-path and application layer
processing
 Freescale processors based on Power Architecture ®(PA)
technology
 New CoreNet™ Interconnect on-chip fabric
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 4
QorIQ P4080 Communication Processor
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 5
CoreNet™ Platform Overview
 CoreNet fabric sub-system is referred to as CoreNet Platform
 CoreNet is an on-chip, high efficiency, high performance
multiprocessor coherent interconnect
 Point-to-point interconnect
 Independent address and data paths
 Pipelined address bus, split transactions, out-of-order completion
.
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 6
CoreNet™ Coherency Fabric
1MB
Front side
L3 Cache
1MB
Front side
L3 Cache
DDR2/3
Memory
Controller
DDR2/3
Memory
Controller
IO Bridge
CoreNet Platform Block Diagram
DUV
CoreNet L3 Cache
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
Core Complex
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
IO Bridge IO Bridge
Core Complex Core Complex
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 7
Agenda
 QorIQ & CoreNet Platform Overview
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 8
Verification Challenges
 Multiple and new architectures to verify – CoreNet, Arbitration, Address
Map, Security, Virtualization etc.
 Extensive VIP development to support unit verification
 New constrained random stimulus and associated coverage
 Performance
 Parameterized design to support multiple derivatives
 Deal with legacy VIP
 Adoption of new languages and tools (SV, SVA)
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 9
Agenda
 QorIQ & CoreNet Platform Overview
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 10
Verification Methodology
 Top-down – black box to white box
 Transaction Based Verification Methodology (TBVM)
 Coverage driven
 Extensive correctness checking
 Hierarchical Verification
 Reuse, reuse, reuse!
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 11
Agenda
 QorIQ & CoreNet Platform Overview
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 12
SystemVerilog Testbench
 SystemVerilog Base Class Library (SVBCL)
 Basic building blocks for constructing testbenches
 Same concept as OVM or VMM libraries
 SVBCL Extensions
 Register randomization, randomization routines
 Algorithmic and random stimulus base classes
 Enhanced run-time parameter management
 Address manager to manage address regions between masters
 Data manager for intermediate and final results checking
 Cache/Memory preloaders and checkers
 Platform Verification IP
 Extensive set of BFMs for CoreNet and all other IP protocols
 Monitors, Assertions, Coverage
 Random and directed stimulus
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 13
CoreNet VIP Overview
 Object oriented model of all platform CoreNet-compliant units – Fabric, Proc
Master, IO Bridges, Targets.
 Includes BFMs, monitors, coverage and stimulus objects
 Layered Architecture of BFMs
 Stimulus Layer - higher level stimulus objects
 Transaction Layer – implements transaction attributes of CoreNet
 Link Layer – implements flow control aspects of CoreNet
 Phy Layer – implements physical attributes of CoreNet protocol
 BFMs model buffer resources, significant towards finding deadlock issues
 Embedded coverage using SV covergroups
 Multitude of control parameters (run-time) to modify behavior at run-time
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 14
How SV helped
 OOP concepts – Abstraction, Inheritance & Polymorphism
 Object-based randomization and constraints programming
 Enhanced inter-process synchronization and communication mechanisms
 Fine grain process control ( fork…join)
 No memory leaks ( automatic garbage collection)
 Enhanced tasks and functions
 Interfaces ( parameterized, nested)
 Powerful assertions & functional coverage capabilities
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 15
SV Gotchas
 Constraint-solving
 Unsupported constructs (e.g. parameterized classes)
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 16
CoreNet™ Coherency Fabric
CoreNet™ Proc
BFM
CoreNet BFM
Memory Target
CoreNet BFM
Memory Target
CoreNet BFM
IO Bridge
CoreNet BFM
IO Bridge
CoreNet BFM
IO Bridge
DUV
PA testcase SV Stim
SV Stim SV Stim SV Stim
CoreNet Monitors
Corenet Fabric Testbench
CoreNet™ Proc
BFM
CoreNet™ Proc
BFM
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 17
CoreNet™ Fabric BFM
CoreNet BFM
AltMaster
CoreNet BFM
Memory Target
CoreNet BFM
Memory Target
IO Bridge
DUV
PA testcase SV Stim
SV Stim
CoreNet BFM
IO Bridge Testbench
CoreNet BFM
AltMaster
CoreNet BFM
AltMaster
IP
BFMs
Monitor
CoreNet Monitor
CoreNet Monitor
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 18
CoreNet™ Coherency Fabric
CoreNet Proc
BFM
1MB
Front side
L3 Cache
1MB
Front side
L3 Cache
IP BFM
DDR2/3
Memory
Controller
DDR2/3
Memory
Controller
IO Bridge
IP BFM
CoreNet Platform Testbench
DUV
SV Stim or PA
testcase
SV Stim SV Stim
CoreNet Monitors L3 Cache
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
Core Complex
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
CC
Power Architecture™
e500 Core
32KB
D-Cache
32KB
I-Cache
128KB Backside L2 Cache
CC
PA testcase
CoreNet BFM
IoMaster/TargetIO Bridge
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 19
Agenda
 QorIQ & CoreNet Platform Overview
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 20
Successes
 Successfully verified the entire CoreNet platform as an early adopter of System
Verilog for testbenches.
 Successfully applied advanced features of SV in creating a lean and efficient
testbench with focus on reuse.
 Reuse of CoreNet VIP for verification by cross-functional and cross-site
teams.
 Feature-rich testbench enabled early performance verification on RTL - helped
flush out many performance bugs
continued…
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 21
Successes
 First Networking & Multimedia Group (NMG) SoC with fully integrated SV
testbench
 Sampled first silicon to customer in less than 3 weeks!
 Customer is able to run 8-way MP software.
 No major (show-stopper) functional CoreNet bugs in silicon
 Lab Bugs/Verif Bugs = 0.7%
 Found SV to be adequate for verifying complex designs.
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 22
Agenda
 QorIQ & CoreNet Platform Overview
 Verification Challenges
 Verification Methodology
 Verification IP
 Conclusions
 Q & A
TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are
the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 23
Q & A

Contenu connexe

Tendances

Converged data center_f_co_e_iscsi_future_storage_networking
Converged data center_f_co_e_iscsi_future_storage_networkingConverged data center_f_co_e_iscsi_future_storage_networking
Converged data center_f_co_e_iscsi_future_storage_networking
EMC
 
z/OS 2.3 HiperSockets Converged Interface (HSCI) support
z/OS 2.3 HiperSockets Converged Interface (HSCI) supportz/OS 2.3 HiperSockets Converged Interface (HSCI) support
z/OS 2.3 HiperSockets Converged Interface (HSCI) support
zOSCommserver
 

Tendances (20)

z/OS Encryption Readiness Technology (zERT)
z/OS Encryption Readiness Technology (zERT) z/OS Encryption Readiness Technology (zERT)
z/OS Encryption Readiness Technology (zERT)
 
Converged data center_f_co_e_iscsi_future_storage_networking
Converged data center_f_co_e_iscsi_future_storage_networkingConverged data center_f_co_e_iscsi_future_storage_networking
Converged data center_f_co_e_iscsi_future_storage_networking
 
Fibre Channel over Ethernet (FCoE), iSCSI and the Converged Data Center
Fibre Channel over Ethernet (FCoE), iSCSI and the Converged Data CenterFibre Channel over Ethernet (FCoE), iSCSI and the Converged Data Center
Fibre Channel over Ethernet (FCoE), iSCSI and the Converged Data Center
 
z/OS 2.3 HiperSockets Converged Interface (HSCI) support
z/OS 2.3 HiperSockets Converged Interface (HSCI) supportz/OS 2.3 HiperSockets Converged Interface (HSCI) support
z/OS 2.3 HiperSockets Converged Interface (HSCI) support
 
z/OS Through V2R1Communications Server Performance Functions Update
z/OS Through V2R1Communications Server Performance Functions Updatez/OS Through V2R1Communications Server Performance Functions Update
z/OS Through V2R1Communications Server Performance Functions Update
 
z/OS Communications Server Overview
z/OS Communications Server Overviewz/OS Communications Server Overview
z/OS Communications Server Overview
 
z/OS V2R2 Communications Server Overview
z/OS V2R2 Communications Server Overviewz/OS V2R2 Communications Server Overview
z/OS V2R2 Communications Server Overview
 
IBM z/OS Communications Server z/OS Encryption Readiness Technology (zERT)
IBM z/OS Communications Server z/OS Encryption Readiness Technology (zERT)IBM z/OS Communications Server z/OS Encryption Readiness Technology (zERT)
IBM z/OS Communications Server z/OS Encryption Readiness Technology (zERT)
 
Technology (1)
Technology (1)Technology (1)
Technology (1)
 
TN3270 Access to Mainframe SNA Applications
TN3270 Access to Mainframe SNA ApplicationsTN3270 Access to Mainframe SNA Applications
TN3270 Access to Mainframe SNA Applications
 
z/OS Communications Server: z/OS Resolver
z/OS Communications Server: z/OS Resolverz/OS Communications Server: z/OS Resolver
z/OS Communications Server: z/OS Resolver
 
Enabling Continuous Availability and Reducing Downtime with IBM Multi-Site Wo...
Enabling Continuous Availability and Reducing Downtime with IBM Multi-Site Wo...Enabling Continuous Availability and Reducing Downtime with IBM Multi-Site Wo...
Enabling Continuous Availability and Reducing Downtime with IBM Multi-Site Wo...
 
IBM Configuration Assistant for z/OS Communications Server update
IBM Configuration Assistant for z/OS Communications Server updateIBM Configuration Assistant for z/OS Communications Server update
IBM Configuration Assistant for z/OS Communications Server update
 
Motivations and Considerations for Migrating from SMTPD/Sendmail to CSSMTP
Motivations and Considerations for Migrating from SMTPD/Sendmail to CSSMTPMotivations and Considerations for Migrating from SMTPD/Sendmail to CSSMTP
Motivations and Considerations for Migrating from SMTPD/Sendmail to CSSMTP
 
TCP/IP Stack Configuration with Configuration Assistant for IBM z/OS CS
TCP/IP Stack Configuration with Configuration Assistant for IBM z/OS CSTCP/IP Stack Configuration with Configuration Assistant for IBM z/OS CS
TCP/IP Stack Configuration with Configuration Assistant for IBM z/OS CS
 
PLNOG 8: Piotr Głaska - Data Center Networking - Trends and suggestions
PLNOG 8: Piotr Głaska -  Data Center Networking - Trends and suggestions PLNOG 8: Piotr Głaska -  Data Center Networking - Trends and suggestions
PLNOG 8: Piotr Głaska - Data Center Networking - Trends and suggestions
 
Sysplex in a Nutshell
Sysplex in a NutshellSysplex in a Nutshell
Sysplex in a Nutshell
 
ISPF Recent and Coming Enhancements
ISPF Recent and Coming EnhancementsISPF Recent and Coming Enhancements
ISPF Recent and Coming Enhancements
 
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
Introduction to IBM Shared Memory Communications Version 2 (SMCv2) and SMC-Dv2
 
Storage Area Networking: SAN Technology Update & Best Practice Deep Dive for ...
Storage Area Networking: SAN Technology Update & Best Practice Deep Dive for ...Storage Area Networking: SAN Technology Update & Best Practice Deep Dive for ...
Storage Area Networking: SAN Technology Update & Best Practice Deep Dive for ...
 

Similaire à Verification of the QorIQ Communication Platform Containing CoreNet Fabric with SystemVerilog

AlgorithmStatus2005FEB
AlgorithmStatus2005FEBAlgorithmStatus2005FEB
AlgorithmStatus2005FEB
Bo Lin
 
Relative Capacity por Eduardo Oliveira e Joseph Temple
Relative Capacity por Eduardo Oliveira e Joseph TempleRelative Capacity por Eduardo Oliveira e Joseph Temple
Relative Capacity por Eduardo Oliveira e Joseph Temple
Joao Galdino Mello de Souza
 
Oracle Solaris 11.1 New Features
Oracle Solaris 11.1 New FeaturesOracle Solaris 11.1 New Features
Oracle Solaris 11.1 New Features
Orgad Kimchi
 
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdf
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdfSharath Pass 111111111111111111111111111111111111111111111111111111.pdf
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdf
GaganaGowda31
 

Similaire à Verification of the QorIQ Communication Platform Containing CoreNet Fabric with SystemVerilog (20)

Unlocking the SDN and NFV Transformation
Unlocking the SDN and NFV TransformationUnlocking the SDN and NFV Transformation
Unlocking the SDN and NFV Transformation
 
EMCSymmetrix vmax-10
EMCSymmetrix vmax-10EMCSymmetrix vmax-10
EMCSymmetrix vmax-10
 
ThunderX ARMV8 Servers: Disruption and Innovation in the Server Market
ThunderX ARMV8 Servers: Disruption and Innovation in the Server MarketThunderX ARMV8 Servers: Disruption and Innovation in the Server Market
ThunderX ARMV8 Servers: Disruption and Innovation in the Server Market
 
Easing the Path to Network Transformation - Network Transformation Experience...
Easing the Path to Network Transformation - Network Transformation Experience...Easing the Path to Network Transformation - Network Transformation Experience...
Easing the Path to Network Transformation - Network Transformation Experience...
 
#IBMEdge: Flash Storage Session
#IBMEdge: Flash Storage Session#IBMEdge: Flash Storage Session
#IBMEdge: Flash Storage Session
 
Sparc SuperCluster
Sparc SuperClusterSparc SuperCluster
Sparc SuperCluster
 
NFV and SDN: 4G LTE and 5G Wireless Networks on Intel(r) Architecture
NFV and SDN: 4G LTE and 5G Wireless Networks on Intel(r) ArchitectureNFV and SDN: 4G LTE and 5G Wireless Networks on Intel(r) Architecture
NFV and SDN: 4G LTE and 5G Wireless Networks on Intel(r) Architecture
 
【旧版】Oracle Database Cloud Service:サービス概要のご紹介 [2020年1月版]
【旧版】Oracle Database Cloud Service:サービス概要のご紹介 [2020年1月版]【旧版】Oracle Database Cloud Service:サービス概要のご紹介 [2020年1月版]
【旧版】Oracle Database Cloud Service:サービス概要のご紹介 [2020年1月版]
 
AlgorithmStatus2005FEB
AlgorithmStatus2005FEBAlgorithmStatus2005FEB
AlgorithmStatus2005FEB
 
OpenStack and z/VM – What is it and how do I get it?
OpenStack and z/VM – What is it and how do I get it?OpenStack and z/VM – What is it and how do I get it?
OpenStack and z/VM – What is it and how do I get it?
 
Relative Capacity por Eduardo Oliveira e Joseph Temple
Relative Capacity por Eduardo Oliveira e Joseph TempleRelative Capacity por Eduardo Oliveira e Joseph Temple
Relative Capacity por Eduardo Oliveira e Joseph Temple
 
Fujitsu m10 server features and capabilities
Fujitsu m10 server features and capabilitiesFujitsu m10 server features and capabilities
Fujitsu m10 server features and capabilities
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdf
 
PLNOG 5: Joerg Ammon - THE VIRTUALIZED SP DATA CENTER
PLNOG 5: Joerg Ammon - THE VIRTUALIZED SP DATA CENTERPLNOG 5: Joerg Ammon - THE VIRTUALIZED SP DATA CENTER
PLNOG 5: Joerg Ammon - THE VIRTUALIZED SP DATA CENTER
 
Oracle Solaris 11.1 New Features
Oracle Solaris 11.1 New FeaturesOracle Solaris 11.1 New Features
Oracle Solaris 11.1 New Features
 
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdf
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdfSharath Pass 111111111111111111111111111111111111111111111111111111.pdf
Sharath Pass 111111111111111111111111111111111111111111111111111111.pdf
 
What’s Mule 4.3? How Does Anytime RTF Help? Our insights explain.
What’s Mule 4.3? How Does Anytime RTF Help? Our insights explain. What’s Mule 4.3? How Does Anytime RTF Help? Our insights explain.
What’s Mule 4.3? How Does Anytime RTF Help? Our insights explain.
 
TN104_Rutenberg_Sinai_090805
TN104_Rutenberg_Sinai_090805TN104_Rutenberg_Sinai_090805
TN104_Rutenberg_Sinai_090805
 
NFF-GO (YANFF) - Yet Another Network Function Framework
NFF-GO (YANFF) - Yet Another Network Function FrameworkNFF-GO (YANFF) - Yet Another Network Function Framework
NFF-GO (YANFF) - Yet Another Network Function Framework
 
PowerDRC/LVS 2.2 released by POLYTEDA
PowerDRC/LVS 2.2 released by POLYTEDAPowerDRC/LVS 2.2 released by POLYTEDA
PowerDRC/LVS 2.2 released by POLYTEDA
 

Plus de DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
DVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
DVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
DVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
DVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
DVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
DVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
DVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 

Plus de DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

Dernier

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 

Dernier (20)

Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024Manulife - Insurer Transformation Award 2024
Manulife - Insurer Transformation Award 2024
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024AXA XL - Insurer Innovation Award Americas 2024
AXA XL - Insurer Innovation Award Americas 2024
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Ransomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdfRansomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdf
 

Verification of the QorIQ Communication Platform Containing CoreNet Fabric with SystemVerilog

  • 1. TM Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009 Verification of the QorIQ™ Communication Platform Containing CoreNet™ Fabric with SystemVerilog Sakar Jain & Robert Page Freescale Semiconductor Austin Texas
  • 2. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 2 Agenda  Introduction  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 3. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 3 Introduction  QorIQ™ (pronounced 'core eye-queue' ) Overview  Communication Processors for networking applications  Multi-core with tri-level cache hierarchy  Intended for combined control, data-path and application layer processing  Freescale processors based on Power Architecture ®(PA) technology  New CoreNet™ Interconnect on-chip fabric
  • 4. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 4 QorIQ P4080 Communication Processor
  • 5. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 5 CoreNet™ Platform Overview  CoreNet fabric sub-system is referred to as CoreNet Platform  CoreNet is an on-chip, high efficiency, high performance multiprocessor coherent interconnect  Point-to-point interconnect  Independent address and data paths  Pipelined address bus, split transactions, out-of-order completion .
  • 6. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 6 CoreNet™ Coherency Fabric 1MB Front side L3 Cache 1MB Front side L3 Cache DDR2/3 Memory Controller DDR2/3 Memory Controller IO Bridge CoreNet Platform Block Diagram DUV CoreNet L3 Cache Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache Core Complex Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache IO Bridge IO Bridge Core Complex Core Complex
  • 7. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 7 Agenda  QorIQ & CoreNet Platform Overview  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 8. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 8 Verification Challenges  Multiple and new architectures to verify – CoreNet, Arbitration, Address Map, Security, Virtualization etc.  Extensive VIP development to support unit verification  New constrained random stimulus and associated coverage  Performance  Parameterized design to support multiple derivatives  Deal with legacy VIP  Adoption of new languages and tools (SV, SVA)
  • 9. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 9 Agenda  QorIQ & CoreNet Platform Overview  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 10. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 10 Verification Methodology  Top-down – black box to white box  Transaction Based Verification Methodology (TBVM)  Coverage driven  Extensive correctness checking  Hierarchical Verification  Reuse, reuse, reuse!
  • 11. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 11 Agenda  QorIQ & CoreNet Platform Overview  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 12. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 12 SystemVerilog Testbench  SystemVerilog Base Class Library (SVBCL)  Basic building blocks for constructing testbenches  Same concept as OVM or VMM libraries  SVBCL Extensions  Register randomization, randomization routines  Algorithmic and random stimulus base classes  Enhanced run-time parameter management  Address manager to manage address regions between masters  Data manager for intermediate and final results checking  Cache/Memory preloaders and checkers  Platform Verification IP  Extensive set of BFMs for CoreNet and all other IP protocols  Monitors, Assertions, Coverage  Random and directed stimulus
  • 13. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 13 CoreNet VIP Overview  Object oriented model of all platform CoreNet-compliant units – Fabric, Proc Master, IO Bridges, Targets.  Includes BFMs, monitors, coverage and stimulus objects  Layered Architecture of BFMs  Stimulus Layer - higher level stimulus objects  Transaction Layer – implements transaction attributes of CoreNet  Link Layer – implements flow control aspects of CoreNet  Phy Layer – implements physical attributes of CoreNet protocol  BFMs model buffer resources, significant towards finding deadlock issues  Embedded coverage using SV covergroups  Multitude of control parameters (run-time) to modify behavior at run-time
  • 14. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 14 How SV helped  OOP concepts – Abstraction, Inheritance & Polymorphism  Object-based randomization and constraints programming  Enhanced inter-process synchronization and communication mechanisms  Fine grain process control ( fork…join)  No memory leaks ( automatic garbage collection)  Enhanced tasks and functions  Interfaces ( parameterized, nested)  Powerful assertions & functional coverage capabilities
  • 15. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 15 SV Gotchas  Constraint-solving  Unsupported constructs (e.g. parameterized classes)
  • 16. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 16 CoreNet™ Coherency Fabric CoreNet™ Proc BFM CoreNet BFM Memory Target CoreNet BFM Memory Target CoreNet BFM IO Bridge CoreNet BFM IO Bridge CoreNet BFM IO Bridge DUV PA testcase SV Stim SV Stim SV Stim SV Stim CoreNet Monitors Corenet Fabric Testbench CoreNet™ Proc BFM CoreNet™ Proc BFM
  • 17. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 17 CoreNet™ Fabric BFM CoreNet BFM AltMaster CoreNet BFM Memory Target CoreNet BFM Memory Target IO Bridge DUV PA testcase SV Stim SV Stim CoreNet BFM IO Bridge Testbench CoreNet BFM AltMaster CoreNet BFM AltMaster IP BFMs Monitor CoreNet Monitor CoreNet Monitor
  • 18. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 18 CoreNet™ Coherency Fabric CoreNet Proc BFM 1MB Front side L3 Cache 1MB Front side L3 Cache IP BFM DDR2/3 Memory Controller DDR2/3 Memory Controller IO Bridge IP BFM CoreNet Platform Testbench DUV SV Stim or PA testcase SV Stim SV Stim CoreNet Monitors L3 Cache Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache Core Complex Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache CC Power Architecture™ e500 Core 32KB D-Cache 32KB I-Cache 128KB Backside L2 Cache CC PA testcase CoreNet BFM IoMaster/TargetIO Bridge
  • 19. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 19 Agenda  QorIQ & CoreNet Platform Overview  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 20. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 20 Successes  Successfully verified the entire CoreNet platform as an early adopter of System Verilog for testbenches.  Successfully applied advanced features of SV in creating a lean and efficient testbench with focus on reuse.  Reuse of CoreNet VIP for verification by cross-functional and cross-site teams.  Feature-rich testbench enabled early performance verification on RTL - helped flush out many performance bugs continued…
  • 21. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 21 Successes  First Networking & Multimedia Group (NMG) SoC with fully integrated SV testbench  Sampled first silicon to customer in less than 3 weeks!  Customer is able to run 8-way MP software.  No major (show-stopper) functional CoreNet bugs in silicon  Lab Bugs/Verif Bugs = 0.7%  Found SV to be adequate for verifying complex designs.
  • 22. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 22 Agenda  QorIQ & CoreNet Platform Overview  Verification Challenges  Verification Methodology  Verification IP  Conclusions  Q & A
  • 23. TMFreescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their respective owners. © Freescale Semiconductor, Inc. 2009. 23 Q & A