SlideShare une entreprise Scribd logo
1  sur  7
Télécharger pour lire hors ligne
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645

Scan-Based Delay Measurement Technique Using
Signature Registers
Telukutla Sahithi1, Mr. V. T. Venkateswarlu2 M. Tech., [Ph.D.],
1

2

PG Student, Associate professor, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur,
Guntur (Dt.), Andhra Pradesh, India

ABSTRACT: With the scaling of semiconductor process technology, performance of modern VLSI chips will
improve significantly. However, as the scaling increases, small-delay defects which are caused by resistiveshort, resistive-open, or resistive-via become serious problems. The proposed method uses a signature analysis
and a scan design to detect small delay defects. The proposed measurement technique measures the delay of the
explicitly sensitized paths with the resolution of the on-chip variable clock generator. The proposed scan design
realizes complete on-chip delay measurement in short measurement time using the proposed delay measurement
technique and extra latches for storing the test vectors.

Index terms: Very large scale integration (VLSI), signature register, design for testability (DFT).
I.

INTRODUCTION

Semiconductor process technology has developed rapidly to improve the performance of modern VLSI
chips. As a continuous process scaling produces large-scale chips. With the rapid development of semiconductor
technology, delay testing has become a critical problem. The major types of delays are occurred because of
resistive-shorts, resistive-open and resistive-vias. These small delays can cause a fail of a system if they are
activated for a longer time. Furthermore their life time become very short. Therefore to overcome these
drawbacks some embedded delay measurement techniques have been proposed. Scan-based delay measurement
technique with variable clock generator is one of these on-chip delay measurement techniques. The delay of
path is measured by continuous sensitization of path under measurement with test clock width reduced
gradually. The advantage of this technique is its high accuracy. This technique has some drawbacks. Therefore
we present a scan-based delay measurement technique which uses signature registers.
A.EXISTING SYSTEM
These days, various methods for small-delay defect detection have been proposed. Scan-based delay
measurement technique with variable clock generator is most widely used. In this technique the delay of path is
measured by continuous sensitization of path under measurement with test clock width reduced gradually by
resolution. In this technique the accuracy is high. The reason of the high accuracy is that the technique measures
just the period between the time when a transition is launched to the measured path and the time when the
transition is captured by the flip flop connected to the path, directly. The variation of the measured value just
depends on the variation of the clock frequency of the clock generator. Therefore, if the clock generator is
compensated the influence of the process variation, the measured value does not depend on the process
variation.
B. DRAWBACKS OF EXISTING SYSTEM
Disadvantages of existing system are as follows.
 The gap between the functional clock and scan clock frequency increases. Therefore the measurement time
becomes too long to make it practical.
Area reduction technique of the self testing scan-FFs is also proposed. The flip flop reduces the required
number of scan operations, which makes the measurement time practical.
 However, the area overhead of these methods is still expensive compared with the conventional scan
designs.
C. PROPOSED SYSTEM
We present a scan-based delay measurement technique using signature registers for small-delay defect
detection. The proposed method does not require the expected test vector because the test responses are
analyzed by the signature registers. The overall area cost is of the order of conventional scan designs for design
for test (DFT). The measurement time of the proposed technique is smaller than conventional scan-based delay
measurement. The extra signature registers can be reused for testing, diagnosis, and silicon debugging.
D. ADVANTAGES OF PROPOSED SYSTEM

Proposed method does not require expected test vectors.

The measurement time is smaller.

www.ijmer.com

3042 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645


The overall area cost is of the order of conventional scan designs for design for test (DFT).

E. VARIABLE CLOCK GENERATOR
In the proposed method, the clock width should be reduced continuously by a constant interval. It is
difficult for an external tester to control this clock operation. Therefore an on-chip variable clock generator is
used for the proposed method. In this paper, we use the on-chip variable clock generator. Figure1 illustrates the
circuit. The circuit consists of the arbitrary clock frequency generator and the 2-pulse generator. The arbitrary
clock frequency generator generates an arbitrary clock width. The 2-pulse generator generates 2-pulse test
clocks with arbitrary timing in response to a trigger signal.

Figure 1: variable clock frequency generator

II.DELAY MEASUREMENT TECHNIQUE USING SIGNATURE REGISTER
The proposed measurement is scan-based delay measurement. The difference from the existing one is
use of signature registers and additional latches. In this measurement latches are used to store test vector after
scan-in operation.
A.SCAN FLIP FLOPS
An important flip-flop function for ASIC testing is so-called scan capability. The idea is be able to
drive the flip-flop’s D input with an alternate source of data during device testing. When all of the flip-flops are
put into testing mode, a test pattern can be “scanned in” to the ASIC using the flip-flops’ alternate data inputs.
After the test pattern is loaded, the flip-flops are put back into “normal” mode, and all of the flip-flops are
clocked normally. After one or more clock ticks, the flip-flops are put back into test mode, and the test results
are “scanned out. Figure2 shows the gate level description of scan flip flop. The lines D, Q, and clk are the
input, output, and clock lines, respectively. When se0=0, the flip flop is in normal operation mode. When se0=1
and se1=1, the flip flop is in scan operation mode. When se0=1, se1=0, the flip flop loads the value stored in the
latch connected to the latch line. The lines si and s0 are the input and output for constructing the scan path.

Figure 2: scan flip flop
B.RECONFIGURABLE SIGNATURE REGISTER
Signature analysis registers are often used in combination with standard LFSRs for on-chip self test of
VLSI circuits. The signature register for the proposed measurement requires the following functions to meet the
demand of the proposed measurement.
• Capturing the test response in arbitrary timing.
• Shifting out the signature data in arbitrary timing.
Figure3 shows the architecture of the signature register for the proposed measurement. It has four flip
flops FF0, FF1, FF2, and FF3. . When sge = 1 , it works as a signature register. When sge=0, it works as a shift
register. The line in is the input of the signature register. The clock line is controlled by sck . When sck = 0 , the
signature register does not capture the input value. When sck = 1 , the signature register captures the input
value synchronizing with the positive edge of clk . By controlling sck, the signature registers capture only the
target test response. The output is sg0. The measurement system requires multiple signature registers generally.

www.ijmer.com

3043 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645

Figure3: 4- bit reconfigurable signature register

III.DELAY MEASUREMENT SYSTEM
Delay measurement system is shown figure 4. The proposed system consists of the low cost tester and
the chip with the variable clock generator (VCG) explained in and a BCD decoder. The chip is assumed to have
single functional clock in the proposed method, and the chip has two reset lines for initializing the flip flops and
the signature registers independently. The reset operations are controlled by the tester. The low cost tester
controls the whole measurement sequence. The clock frequency tck is slower than the functional clock. The line
sg0 retrieves the signature data from the signature registers to estimate the measured delay. The line sci sends
the test vectors to the scan input of the chip. The line sc0 gets the data of the flip flops from the scan output of
the chip. In the proposed measurement sequence, sc0 is not used. However, it is used to check the flip flops or
the additional latches before the measurement. The line cs is the clock control line. The proposed measurement
uses both the slow tester clock tck and the fast double pulse generated by on-chip VCG. The line selects the
slow and fast clock. If cs is 1, the fast clock is sent to the clock line clk of the components. Otherwise the slow
tester clock tck is sent. The lines trg and cnt are the input lines for VCG. The fast double pulse is launched
synchronizing with the positive edge of trg . The line cnt controls the width of the double pulse. The line se
controls the scan flip flops. The line lck controls the latches for storing test vectors. The lines scj 0, scj1…..scjl-1
are the inputs for the encoded data to control the capture operation of the signature registers. The BCD decoder
decodes the encoded input data to the control data of the signature registers sck0…sck m-1. As explained later, the
decoder is used to reduce the input lines for the control data of the signature registers. The sge is the enable
signal for the signature registers. The control lines of the signature registers are connected to the BCD decoder.

Figure 4: measurement system
A. MEASUREMENT SEQUENCE PER TEST VECTOR
When the measurement system has m signature registers, m paths can be measured in parallel
maximally. The measurement strategy using the example is depicted in figure5. In this example, the proposed
method consists of six flip flops 𝐹𝐹0 -𝐹𝐹5 .the flip flops are classified to the two clusters 𝑐𝑙0 and𝑐𝑙1 . Each cluster
has its own signature register 𝑆𝐼𝐺0 and 𝑆𝐼𝐺1 respectively. The paths𝑝1 , 𝑝2 , 𝑝3 , 𝑝5 are sensitized by the test
vector (𝐹𝐹0 , 𝐹𝐹1 , 𝐹𝐹2 , 𝐹𝐹3 , 𝐹𝐹4 , 𝐹𝐹5 ) = (0, 0, 1, 0, 1, 1).The test response of𝑝 𝑖 , is captured by𝐹𝐹𝑖 . The expected
test response is (𝐹𝐹0 , 𝐹𝐹1 , 𝐹𝐹2 , 𝐹𝐹3 , 𝐹𝐹4 , 𝐹𝐹5 ) = (1,1,0,1,0,0). The paths 𝑝1 and 𝑝2 are measured by 𝑆𝐼𝐺0 . The
paths 𝑝3 and 𝑝5 are measured by 𝑆𝐼𝐺1 . The combination of two paths, one of which is selected from 𝑝1 and𝑝2 ,
the other which is selected from 𝑝3 and 𝑝5 , can be measured simultaneously. First, the test vector is set to the
flip flops with scan-in operation. After that, the values of flip flops are set to extra latches. Second, the first
stage is performed. Third the second stage is performed. In each stage, the paths under measurement are tested
multiple times with reducing test clock width. Steps (b) and (c) show the state after test execution. The flip flops
hold the test response. The latches hold the test vector. After testing, the responses are shifted to signature
registers with clock operation of𝑐𝑙𝑘. The number of required shift clocks varies in each stage.
www.ijmer.com

3044 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645

Figure 5: measurement of paths sensitized in attest vector in parallel. (a) scan-in test vector and store it in
latches. (b) Sending test responses of 𝑝1 and 𝑝5 to 𝑆𝐼𝐺0 and𝑆𝐼𝐺1 . (c) Send test responses of 𝑝2 and 𝑝3
𝑆𝐼𝐺0 and 𝑆𝐼𝐺1 .
Figure6 shows the timing chart of operation. The low cost tester controls the whole measurement
sequence. For measurement both VCG clock and tester clock are used. The clock controlled by 𝑐𝑠. The triggered
signal 𝑡𝑟𝑔 and control signal 𝑐𝑛𝑡 are provided to VCG. The control data 𝑐𝑛𝑡 is updated after each testing
operation. In 𝑆𝑇𝐺0 , 𝑆𝐼𝐺0 captures the test response in second shift-out clock. Therefore 𝑠𝑐𝑘0 turns to 1
synchronizing with the negative edge of first clock of the shift-out operation. The latch clock 𝑙𝑐𝑘 captures the
value of the flip flops just after scan-in operation is finished.

1) Scan-in test vector.
2) Set initial vector to 𝐿 𝑗 .
3) Apply test clock.
4) Transfer test responses to signature registers.
5) Retrieve signature data.
Figure 6: timing chart of the sequence of figure5.
B.WHOLE MEASUREMENT SEQUENCE
Let us assume that the test set for measurement 𝑇𝑆 has 𝑁 𝑇𝑉 test vectors𝑡𝑣0 , … . . 𝑡𝑣 𝑁𝑇𝑉 −1 . The number
of stages of 𝑡𝑣 𝑖 is𝑁 𝑠𝑡(𝑖) . Before measurement, we have to check if the flip flops, the latches, and the clock
generator work correctly by applying test vectors. After that the following measurement sequence is executed.
Step 1: Initialize the variable𝑖 = 0.
Step 2: if 𝑖 is equal to𝑁 𝑇𝑉 , finish, otherwise initialize the variable 𝑗 to0, and set 𝑡𝑣 𝑖 to the flip flops with scan-in
operation.
www.ijmer.com
3045 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645
Step 3: send the values of flip flops to the latches.
Step 4: the paths included in 𝑆𝑇𝐺𝑗 are measured simultaneously. After that, 𝑗is updated to
(𝑗 + 1).
Step 5: if 𝑗 equal to 𝑁 𝑠𝑡(𝑖) , go to step 6, otherwise load the test vector from the latches to flip flops, and go to
step 4.
Step 6: 𝑖 is updated to 𝑖 + 1 , and go to step 2.
C.TESTER CHANNEL REDUCTION
If 𝑠𝑐𝑘 of each signature register is directly fed to the inputs of the chip, it requires the same number of
extra inputs as the number of the signature registers. It increases tester channel width. To keep the tester channel
width short, we use BCD decoder. The decoder circuit transforms 𝑛 bit binary code in to the corresponding
2 𝑛 width decimal code. The example to encode 𝑠𝑐𝑘 bit sequences to the corresponding binary code is shown in
figure7. It consists of three clusters 𝑐𝑙0 , 𝑐𝑙1 , and 𝑐𝑙2 . Each cluster has three flip flops. Consider the case that test
response of sensitized paths are captured in 𝐹𝐹01 , 𝐹𝐹12 , 𝐹𝐹20 .in the shift out operation after a testing, the test
response of 𝐹𝐹01 is captured by 𝑆𝐼𝐺0 two clocks later. Therefore the bit sequence “010” should be sent
to 𝑠𝑐𝑘0 .the test response of 𝐹𝐹12 is captured by 𝑆𝐼𝐺1 one clock later. Therefore the bit sequence “100” should
be sent to 𝑠𝑐𝑘1 . The test response of 𝐹𝐹02 is captured by 𝑆𝐼𝐺2 three clocks later. Therefore bit sequence “001”
should be sent to 𝑠𝑐𝑘2 . Each bit value of this bit sequence is grouped. The group of 0 th bit value
is 𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 010.
Those
of
first
bit
values
and
second
bit
values
are
𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 100, 𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 001, respectively. We call each group slice. Here, 𝑠𝑙 𝑖 represents the
slice of 𝑖 𝑡ℎ bit. Finally the decimal codes are transformed to binary code. The 0th slice 𝑠𝑙0 “010” is transformed
to “10”. The 1st slice 𝑠𝑙1 “100” is transformed to “10”. The second slice 𝑠𝑙2 “001” is transformed to “11”. As a
result the bit width of data is reduced from 3 bit to 2 bit by transformation. Generally, the width of the slice of
𝑠𝑐𝑘 is 𝑛, the width of encoded slice of 𝑠𝑐𝑗 is 𝑙𝑜𝑔2 𝑛 . However, for the encoding, each slice is permitted only
1 bit with the value 1. More than two bits with the value are not permitted.

Figure 7: encoding the output data of BCD decoder
D.TEST RESPONSE TRACING
The target paths of proposed measurement are single-path sensitizable. In single path sensitizable
measurement, it is guaranteed that once the test fails the test with higher frequency than the failing frequency is
fail. Let 𝐿 𝑆𝐼𝐺 be the length of the signature register, the measurement sequence of a path with test response
tracing mode is described as follows.
Step1: SIG is initialized.
Step2: Test vector is loaded from the latches.
Step3: Test clock width T is set to normal clock width.
Step4: Test clock is applied.
Step5: The test response is sent to SIG with scan-out operation.
Step6: If testing is equal to 𝑁 𝑚𝑒𝑎𝑠 or multiple number of 𝐿 𝑆𝐼𝐺 , the values of flip flops of SIG is retrieved. After
that, if testing time is equal to 𝑁 𝑚𝑒𝑎𝑠 , go to step 7, otherwise go back to step 2after the test clock width T is
updated to 𝑇 − Δ𝑇 .
Step 7: The delay value is estimated by comparing the retrieved signature value and the signature table.

www.ijmer.com

3046 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645

IV.SIMULATION RESULTS
In this section, on-chip path delay under different process variations will be simulated and measured.
During the simulation we measure the delay of each path under test. Random faults were injected in circuits to
generate erroneous data. Random input patterns were applied to the ISCAS-89 benchmark circuits and
compared with proposed method. The length of the signature register is 8 bit. The test set consists of test vectors
which detects all single-path sensitizable transition faults. The paths sensitized by these test vectors are
measured. The measurement times using the proposed scan design and is calculated by Tsig =time required for
{whole scan-in + double pulse + SIG data}
Simulation results of delay measurement using signature register are shown in figure8 and figure9

Figure 8: Simulation results

Figure9: simulation results
www.ijmer.com

3047 | Page
International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048
ISSN: 2249-6645
The device utilization summary for the delay measurement technique is shown in Table I
Logic utilization
Total number of slice register
Number used as flip flop
Number used as latches
Number of 4 input LUTs
Number of occupied cells
Number of slices containing only
related logic
Number of slices containing unrelated
logic
Number of 4 input LUTs
Number of bonded IOBs
Number of BUFGMUXs
Average fan out non clock nets

used
43
31
12
47
47
47

available
7,168

Utilization
1%

7,168
3,584
47

1%
1%
100%

0

47

0%

47
7,168
13
141
3
8
2.76
Table I: device utilization summary

1%
9%
37%

CONCLUSION
• The proposal of the delay measurement method using signature analysis and variable clock generator.
• The proposal of a scan design for the delay measurement of internal paths of SOC.
The first proposal can be applied not only SOC but also field programmable gate array (FPGA). A
future work is the low cost application of the proposed measurement to FPGA. When we measure short paths
the measurement error can increase for the IR drop induced by higher test clock frequency. It can reduce the test
quality. Another future work is the reduction and the avoidance of the measurement error caused by the IR drop.

REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]

N. Ahmed and M. Tehranipoor, Nanometer Technology Designs: High-Quality Delay Tests. New York: Springer,
2007.
K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “A small-delay defect detection technique for dependable LSIs,” in
Proc. IEEE Symp. VLSI Circuits, 2008, pp. 64–65.
B. I. Dervisoglu and G. E. Stong, “Design for testability: Using scanpath techniques for path-delay test and
measurement,” in Proc. IEEE Int. Test Conf. (ITC), 1991, pp. 365–374.
K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “An area reduction technique of self-testing FFs for small-delay
defects detection,” The Inst. Electron., Inf. Commun. Eng. (IEICE), Tech. Rep. DC2009-16, 2009.
A. Krstic and K.-T. Chen, Delay Fault Testing for VLSI Circuits. Norwell, MA: Kluwer, 1998.
J.-J. Liou, L.-C. Wang, and K.-T. Cheng, “Enhancing test efficiency for delay fault testing using multiple-clocked
schemes,” in Proc. Des. Autom. Conf. (DAC), 2002, pp. 371–374.
H. Yan and A. D. Singh, “Evaluating the effectiveness of detecting delay defects in the slack interval: A simulation
study,” in Proc. IEEE Int. Test Conf. (ITC), 2004, pp. 242–251.
J. Lee and E. J. McCluskey, “Failing frequency signature analysis,” in Proc. Int. Test Conf. (ITC), 2008, pp. 1–8.
R. Tayade and J. A. Abraham, “On-chip programmable capture for accurate path delay test and characterization,” in
Proc. IEEE Int. Test Conf. (ITC), 2008, pp. 148–159.
M. Beck, O. Barondeau, X. Lin, and R. Press, “Logic design for on-chip test clock generation-implementation details
and impact on delay test quality,” in Proc. IEEE Des., Autom. Test Eur. Conf. Exhib. (DATE), 2005, pp. 56–61.

www.ijmer.com

3048 | Page

Contenu connexe

Tendances

Migration ux to windows - ICT i3070
Migration ux to windows - ICT i3070Migration ux to windows - ICT i3070
Migration ux to windows - ICT i3070Interlatin
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Praveen Kumar
 
Scalable NDT Instruments for the Inspection of Variable Geometry Components
Scalable NDT Instruments for the Inspection of Variable Geometry ComponentsScalable NDT Instruments for the Inspection of Variable Geometry Components
Scalable NDT Instruments for the Inspection of Variable Geometry ComponentsOlympus IMS
 
Básicos de Functional Test Systems - Testing Days Tijuana
Básicos de Functional Test Systems - Testing Days TijuanaBásicos de Functional Test Systems - Testing Days Tijuana
Básicos de Functional Test Systems - Testing Days TijuanaInterlatin
 
Tofd tanker inspection development
Tofd tanker inspection developmentTofd tanker inspection development
Tofd tanker inspection developmentIrvine Gilbert
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentationklinetik
 
Case Study of Phased Array UT for B31.1 Piping
Case Study of Phased Array UT for B31.1 PipingCase Study of Phased Array UT for B31.1 Piping
Case Study of Phased Array UT for B31.1 PipingWilliam Jensen
 
Presentation on Prospect of Non Destructive Testing and Condition Monitoring...
Presentation on Prospect of Non Destructive Testing and  Condition Monitoring...Presentation on Prospect of Non Destructive Testing and  Condition Monitoring...
Presentation on Prospect of Non Destructive Testing and Condition Monitoring...Ferdous Kabir
 
PV (Pressure Vessel) 200 Series Description
PV (Pressure Vessel) 200 Series DescriptionPV (Pressure Vessel) 200 Series Description
PV (Pressure Vessel) 200 Series DescriptionOlympus IMS
 
Solution: Boiler Tube Weld Inspection
Solution: Boiler Tube Weld InspectionSolution: Boiler Tube Weld Inspection
Solution: Boiler Tube Weld InspectionZetec Inc.
 
Low Pressure Turbine Rotor Weld Inspection
Low Pressure Turbine Rotor Weld InspectionLow Pressure Turbine Rotor Weld Inspection
Low Pressure Turbine Rotor Weld InspectionZetec Inc.
 
Advanced Probes for Austenitic and CRA Weld Inspection Webinar
Advanced Probes for Austenitic and CRA Weld Inspection WebinarAdvanced Probes for Austenitic and CRA Weld Inspection Webinar
Advanced Probes for Austenitic and CRA Weld Inspection WebinarOlympus IMS
 
Friction Stir Weld Inspection Application Solution
Friction Stir Weld Inspection Application SolutionFriction Stir Weld Inspection Application Solution
Friction Stir Weld Inspection Application SolutionZetec Inc.
 
trojan detection
trojan detectiontrojan detection
trojan detectionSRI NISHITH
 
Introduction to Phased Array Using the OmniScan MX2 - Part One
Introduction to Phased Array Using the OmniScan MX2 - Part OneIntroduction to Phased Array Using the OmniScan MX2 - Part One
Introduction to Phased Array Using the OmniScan MX2 - Part OneOlympus IMS
 
Solution: Turbine Blade Inspection
Solution: Turbine Blade InspectionSolution: Turbine Blade Inspection
Solution: Turbine Blade InspectionZetec Inc.
 
NDT PV100 series
NDT PV100 seriesNDT PV100 series
NDT PV100 seriesOlympus IMS
 
Solution: Large Rotor Shaft Inspections
Solution: Large Rotor Shaft InspectionsSolution: Large Rotor Shaft Inspections
Solution: Large Rotor Shaft InspectionsZetec Inc.
 

Tendances (20)

Migration ux to windows - ICT i3070
Migration ux to windows - ICT i3070Migration ux to windows - ICT i3070
Migration ux to windows - ICT i3070
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
 
Scalable NDT Instruments for the Inspection of Variable Geometry Components
Scalable NDT Instruments for the Inspection of Variable Geometry ComponentsScalable NDT Instruments for the Inspection of Variable Geometry Components
Scalable NDT Instruments for the Inspection of Variable Geometry Components
 
Básicos de Functional Test Systems - Testing Days Tijuana
Básicos de Functional Test Systems - Testing Days TijuanaBásicos de Functional Test Systems - Testing Days Tijuana
Básicos de Functional Test Systems - Testing Days Tijuana
 
Tofd tanker inspection development
Tofd tanker inspection developmentTofd tanker inspection development
Tofd tanker inspection development
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
Case Study of Phased Array UT for B31.1 Piping
Case Study of Phased Array UT for B31.1 PipingCase Study of Phased Array UT for B31.1 Piping
Case Study of Phased Array UT for B31.1 Piping
 
Presentation on Prospect of Non Destructive Testing and Condition Monitoring...
Presentation on Prospect of Non Destructive Testing and  Condition Monitoring...Presentation on Prospect of Non Destructive Testing and  Condition Monitoring...
Presentation on Prospect of Non Destructive Testing and Condition Monitoring...
 
Prezentare tcs2011
Prezentare tcs2011Prezentare tcs2011
Prezentare tcs2011
 
PV (Pressure Vessel) 200 Series Description
PV (Pressure Vessel) 200 Series DescriptionPV (Pressure Vessel) 200 Series Description
PV (Pressure Vessel) 200 Series Description
 
Solution: Boiler Tube Weld Inspection
Solution: Boiler Tube Weld InspectionSolution: Boiler Tube Weld Inspection
Solution: Boiler Tube Weld Inspection
 
Low Pressure Turbine Rotor Weld Inspection
Low Pressure Turbine Rotor Weld InspectionLow Pressure Turbine Rotor Weld Inspection
Low Pressure Turbine Rotor Weld Inspection
 
Advanced Probes for Austenitic and CRA Weld Inspection Webinar
Advanced Probes for Austenitic and CRA Weld Inspection WebinarAdvanced Probes for Austenitic and CRA Weld Inspection Webinar
Advanced Probes for Austenitic and CRA Weld Inspection Webinar
 
Friction Stir Weld Inspection Application Solution
Friction Stir Weld Inspection Application SolutionFriction Stir Weld Inspection Application Solution
Friction Stir Weld Inspection Application Solution
 
trojan detection
trojan detectiontrojan detection
trojan detection
 
Introduction to Phased Array Using the OmniScan MX2 - Part One
Introduction to Phased Array Using the OmniScan MX2 - Part OneIntroduction to Phased Array Using the OmniScan MX2 - Part One
Introduction to Phased Array Using the OmniScan MX2 - Part One
 
Solution: Turbine Blade Inspection
Solution: Turbine Blade InspectionSolution: Turbine Blade Inspection
Solution: Turbine Blade Inspection
 
NDT PV100 series
NDT PV100 seriesNDT PV100 series
NDT PV100 series
 
Solution: Large Rotor Shaft Inspections
Solution: Large Rotor Shaft InspectionsSolution: Large Rotor Shaft Inspections
Solution: Large Rotor Shaft Inspections
 

En vedette

T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...
T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...
T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...IJMER
 
Using the ICT tools
Using the ICT toolsUsing the ICT tools
Using the ICT toolsEWIL_EU
 
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...IJMER
 
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...IJMER
 
The fall of the second babylon
The fall of the second babylonThe fall of the second babylon
The fall of the second babylonRobert Taylor
 
Aw2641054107
Aw2641054107Aw2641054107
Aw2641054107IJMER
 
Ijmer 46066571
Ijmer 46066571Ijmer 46066571
Ijmer 46066571IJMER
 
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction Technique
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction  Technique Study of Strain in Elasto-Plastic Material by X-Ray Diffraction  Technique
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction Technique IJMER
 
Greedy – based Heuristic for OSC problems in Wireless Sensor Networks
Greedy – based Heuristic for OSC problems in Wireless Sensor NetworksGreedy – based Heuristic for OSC problems in Wireless Sensor Networks
Greedy – based Heuristic for OSC problems in Wireless Sensor NetworksIJMER
 
Apstyleppt 140104202730-phpapp02
Apstyleppt 140104202730-phpapp02Apstyleppt 140104202730-phpapp02
Apstyleppt 140104202730-phpapp02joycefeuerborn
 
Photography powerpoint
Photography powerpointPhotography powerpoint
Photography powerpointjoycefeuerborn
 
High Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETHigh Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETIJMER
 
Solar-Thermoelectric Hybrid Powergenerator
Solar-Thermoelectric Hybrid PowergeneratorSolar-Thermoelectric Hybrid Powergenerator
Solar-Thermoelectric Hybrid PowergeneratorIJMER
 
Security of Data in Cloud Environment Using DPaaS
Security of Data in Cloud Environment Using DPaaSSecurity of Data in Cloud Environment Using DPaaS
Security of Data in Cloud Environment Using DPaaSIJMER
 
H04014654
H04014654H04014654
H04014654IJMER
 
Enhancement in viscosity of diesel by adding vegetable oil
Enhancement in viscosity of diesel by adding vegetable oilEnhancement in viscosity of diesel by adding vegetable oil
Enhancement in viscosity of diesel by adding vegetable oilIJMER
 
Soal 2 sbm 2007-2010
Soal 2 sbm 2007-2010Soal 2 sbm 2007-2010
Soal 2 sbm 2007-2010FKIP UNHALU
 
Assessment of the Accuracy of Processing GPS Static Baselines Up To 40 Km Us...
Assessment of the Accuracy of Processing GPS Static Baselines  Up To 40 Km Us...Assessment of the Accuracy of Processing GPS Static Baselines  Up To 40 Km Us...
Assessment of the Accuracy of Processing GPS Static Baselines Up To 40 Km Us...IJMER
 
On Gr-Separation Axioms
 On Gr-Separation Axioms  On Gr-Separation Axioms
On Gr-Separation Axioms IJMER
 
Thermodynamic properties and modeling of sorption isotherms for longer storag...
Thermodynamic properties and modeling of sorption isotherms for longer storag...Thermodynamic properties and modeling of sorption isotherms for longer storag...
Thermodynamic properties and modeling of sorption isotherms for longer storag...IJMER
 

En vedette (20)

T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...
T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...
T04201162168Optimal Allocation of FACTS Device with Multiple Objectives Using...
 
Using the ICT tools
Using the ICT toolsUsing the ICT tools
Using the ICT tools
 
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...
Conservation of Energy: a Case Study on Energy Conservation in Campus Lightin...
 
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...
Effect Of Water On Slope Stability And Investigation Of ΝΝw Drainage Techniqu...
 
The fall of the second babylon
The fall of the second babylonThe fall of the second babylon
The fall of the second babylon
 
Aw2641054107
Aw2641054107Aw2641054107
Aw2641054107
 
Ijmer 46066571
Ijmer 46066571Ijmer 46066571
Ijmer 46066571
 
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction Technique
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction  Technique Study of Strain in Elasto-Plastic Material by X-Ray Diffraction  Technique
Study of Strain in Elasto-Plastic Material by X-Ray Diffraction Technique
 
Greedy – based Heuristic for OSC problems in Wireless Sensor Networks
Greedy – based Heuristic for OSC problems in Wireless Sensor NetworksGreedy – based Heuristic for OSC problems in Wireless Sensor Networks
Greedy – based Heuristic for OSC problems in Wireless Sensor Networks
 
Apstyleppt 140104202730-phpapp02
Apstyleppt 140104202730-phpapp02Apstyleppt 140104202730-phpapp02
Apstyleppt 140104202730-phpapp02
 
Photography powerpoint
Photography powerpointPhotography powerpoint
Photography powerpoint
 
High Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFETHigh Performance Germanium Double Gate N-MOSFET
High Performance Germanium Double Gate N-MOSFET
 
Solar-Thermoelectric Hybrid Powergenerator
Solar-Thermoelectric Hybrid PowergeneratorSolar-Thermoelectric Hybrid Powergenerator
Solar-Thermoelectric Hybrid Powergenerator
 
Security of Data in Cloud Environment Using DPaaS
Security of Data in Cloud Environment Using DPaaSSecurity of Data in Cloud Environment Using DPaaS
Security of Data in Cloud Environment Using DPaaS
 
H04014654
H04014654H04014654
H04014654
 
Enhancement in viscosity of diesel by adding vegetable oil
Enhancement in viscosity of diesel by adding vegetable oilEnhancement in viscosity of diesel by adding vegetable oil
Enhancement in viscosity of diesel by adding vegetable oil
 
Soal 2 sbm 2007-2010
Soal 2 sbm 2007-2010Soal 2 sbm 2007-2010
Soal 2 sbm 2007-2010
 
Assessment of the Accuracy of Processing GPS Static Baselines Up To 40 Km Us...
Assessment of the Accuracy of Processing GPS Static Baselines  Up To 40 Km Us...Assessment of the Accuracy of Processing GPS Static Baselines  Up To 40 Km Us...
Assessment of the Accuracy of Processing GPS Static Baselines Up To 40 Km Us...
 
On Gr-Separation Axioms
 On Gr-Separation Axioms  On Gr-Separation Axioms
On Gr-Separation Axioms
 
Thermodynamic properties and modeling of sorption isotherms for longer storag...
Thermodynamic properties and modeling of sorption isotherms for longer storag...Thermodynamic properties and modeling of sorption isotherms for longer storag...
Thermodynamic properties and modeling of sorption isotherms for longer storag...
 

Similaire à Scan-Based Delay Measurement Technique Using Signature Registers

Implementation of delay measurement technique using signature register for sm...
Implementation of delay measurement technique using signature register for sm...Implementation of delay measurement technique using signature register for sm...
Implementation of delay measurement technique using signature register for sm...eSAT Publishing House
 
Delay measurement technique using signature register for small delay defect d...
Delay measurement technique using signature register for small delay defect d...Delay measurement technique using signature register for small delay defect d...
Delay measurement technique using signature register for small delay defect d...eSAT Journals
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineersMohit Mongia
 
Mixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreMixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreIJERA Editor
 
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...奈良先端大 情報科学研究科
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
Iaetsd design and implementation of multiple sic vectors
Iaetsd design and implementation of multiple sic vectorsIaetsd design and implementation of multiple sic vectors
Iaetsd design and implementation of multiple sic vectorsIaetsd Iaetsd
 
Design and implementation of modified clock generation
Design and implementation of modified clock generationDesign and implementation of modified clock generation
Design and implementation of modified clock generationeSAT Journals
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Publishing House
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...eSAT Journals
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.pptcoolbusinessman
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...ijceronline
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequencyeSAT Journals
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 

Similaire à Scan-Based Delay Measurement Technique Using Signature Registers (20)

Implementation of delay measurement technique using signature register for sm...
Implementation of delay measurement technique using signature register for sm...Implementation of delay measurement technique using signature register for sm...
Implementation of delay measurement technique using signature register for sm...
 
Delay measurement technique using signature register for small delay defect d...
Delay measurement technique using signature register for small delay defect d...Delay measurement technique using signature register for small delay defect d...
Delay measurement technique using signature register for small delay defect d...
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
An introduction to scan test for test engineers
An introduction to scan test for test engineersAn introduction to scan test for test engineers
An introduction to scan test for test engineers
 
Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
Mixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreMixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic Core
 
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
Scan Segmentation Approach to Magnify Detection Sensitivity for Tiny Hardware...
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
Iaetsd design and implementation of multiple sic vectors
Iaetsd design and implementation of multiple sic vectorsIaetsd design and implementation of multiple sic vectors
Iaetsd design and implementation of multiple sic vectors
 
Design and implementation of modified clock generation
Design and implementation of modified clock generationDesign and implementation of modified clock generation
Design and implementation of modified clock generation
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequency
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 

Plus de IJMER

A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...IJMER
 
Developing Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingDeveloping Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingIJMER
 
Study & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreStudy & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreIJMER
 
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)IJMER
 
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...IJMER
 
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...IJMER
 
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...IJMER
 
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...IJMER
 
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationStatic Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationIJMER
 
High Speed Effortless Bicycle
High Speed Effortless BicycleHigh Speed Effortless Bicycle
High Speed Effortless BicycleIJMER
 
Integration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIntegration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIJMER
 
Microcontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemMicrocontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemIJMER
 
On some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesOn some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesIJMER
 
Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...IJMER
 
Natural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningNatural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningIJMER
 
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessEvolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessIJMER
 
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersMaterial Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersIJMER
 
Studies On Energy Conservation And Audit
Studies On Energy Conservation And AuditStudies On Energy Conservation And Audit
Studies On Energy Conservation And AuditIJMER
 
An Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLAn Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLIJMER
 
Discrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyDiscrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyIJMER
 

Plus de IJMER (20)

A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...A Study on Translucent Concrete Product and Its Properties by Using Optical F...
A Study on Translucent Concrete Product and Its Properties by Using Optical F...
 
Developing Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed DelintingDeveloping Cost Effective Automation for Cotton Seed Delinting
Developing Cost Effective Automation for Cotton Seed Delinting
 
Study & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja FibreStudy & Testing Of Bio-Composite Material Based On Munja Fibre
Study & Testing Of Bio-Composite Material Based On Munja Fibre
 
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)
 
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...
 
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...
 
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...
 
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...
 
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationStatic Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
Static Analysis of Go-Kart Chassis by Analytical and Solid Works Simulation
 
High Speed Effortless Bicycle
High Speed Effortless BicycleHigh Speed Effortless Bicycle
High Speed Effortless Bicycle
 
Integration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIntegration of Struts & Spring & Hibernate for Enterprise Applications
Integration of Struts & Spring & Hibernate for Enterprise Applications
 
Microcontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation SystemMicrocontroller Based Automatic Sprinkler Irrigation System
Microcontroller Based Automatic Sprinkler Irrigation System
 
On some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological SpacesOn some locally closed sets and spaces in Ideal Topological Spaces
On some locally closed sets and spaces in Ideal Topological Spaces
 
Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...Intrusion Detection and Forensics based on decision tree and Association rule...
Intrusion Detection and Forensics based on decision tree and Association rule...
 
Natural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine LearningNatural Language Ambiguity and its Effect on Machine Learning
Natural Language Ambiguity and its Effect on Machine Learning
 
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcessEvolvea Frameworkfor SelectingPrime Software DevelopmentProcess
Evolvea Frameworkfor SelectingPrime Software DevelopmentProcess
 
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersMaterial Parameter and Effect of Thermal Load on Functionally Graded Cylinders
Material Parameter and Effect of Thermal Load on Functionally Graded Cylinders
 
Studies On Energy Conservation And Audit
Studies On Energy Conservation And AuditStudies On Energy Conservation And Audit
Studies On Energy Conservation And Audit
 
An Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDLAn Implementation of I2C Slave Interface using Verilog HDL
An Implementation of I2C Slave Interface using Verilog HDL
 
Discrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One PreyDiscrete Model of Two Predators competing for One Prey
Discrete Model of Two Predators competing for One Prey
 

Dernier

UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfDianaGray10
 
9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding TeamAdam Moalla
 
AI Fame Rush Review – Virtual Influencer Creation In Just Minutes
AI Fame Rush Review – Virtual Influencer Creation In Just MinutesAI Fame Rush Review – Virtual Influencer Creation In Just Minutes
AI Fame Rush Review – Virtual Influencer Creation In Just MinutesMd Hossain Ali
 
Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Commit University
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Brian Pichman
 
Designing A Time bound resource download URL
Designing A Time bound resource download URLDesigning A Time bound resource download URL
Designing A Time bound resource download URLRuncy Oommen
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesDavid Newbury
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Adtran
 
Computer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsComputer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsSeth Reyes
 
How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?IES VE
 
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UbiTrack UK
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7DianaGray10
 
UiPath Studio Web workshop series - Day 8
UiPath Studio Web workshop series - Day 8UiPath Studio Web workshop series - Day 8
UiPath Studio Web workshop series - Day 8DianaGray10
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureEric D. Schabell
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfAijun Zhang
 
Nanopower In Semiconductor Industry.pdf
Nanopower  In Semiconductor Industry.pdfNanopower  In Semiconductor Industry.pdf
Nanopower In Semiconductor Industry.pdfPedro Manuel
 
COMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a WebsiteCOMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a Websitedgelyza
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024D Cloud Solutions
 

Dernier (20)

UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
 
9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team
 
AI Fame Rush Review – Virtual Influencer Creation In Just Minutes
AI Fame Rush Review – Virtual Influencer Creation In Just MinutesAI Fame Rush Review – Virtual Influencer Creation In Just Minutes
AI Fame Rush Review – Virtual Influencer Creation In Just Minutes
 
Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )
 
Designing A Time bound resource download URL
Designing A Time bound resource download URLDesigning A Time bound resource download URL
Designing A Time bound resource download URL
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond Ontologies
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™
 
Computer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and HazardsComputer 10: Lesson 10 - Online Crimes and Hazards
Computer 10: Lesson 10 - Online Crimes and Hazards
 
How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?How Accurate are Carbon Emissions Projections?
How Accurate are Carbon Emissions Projections?
 
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
UWB Technology for Enhanced Indoor and Outdoor Positioning in Physiological M...
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7
 
UiPath Studio Web workshop series - Day 8
UiPath Studio Web workshop series - Day 8UiPath Studio Web workshop series - Day 8
UiPath Studio Web workshop series - Day 8
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability Adventure
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdf
 
201610817 - edge part1
201610817 - edge part1201610817 - edge part1
201610817 - edge part1
 
Nanopower In Semiconductor Industry.pdf
Nanopower  In Semiconductor Industry.pdfNanopower  In Semiconductor Industry.pdf
Nanopower In Semiconductor Industry.pdf
 
COMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a WebsiteCOMPUTER 10 Lesson 8 - Building a Website
COMPUTER 10 Lesson 8 - Building a Website
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024
 
20230104 - machine vision
20230104 - machine vision20230104 - machine vision
20230104 - machine vision
 

Scan-Based Delay Measurement Technique Using Signature Registers

  • 1. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 Scan-Based Delay Measurement Technique Using Signature Registers Telukutla Sahithi1, Mr. V. T. Venkateswarlu2 M. Tech., [Ph.D.], 1 2 PG Student, Associate professor, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur, Guntur (Dt.), Andhra Pradesh, India ABSTRACT: With the scaling of semiconductor process technology, performance of modern VLSI chips will improve significantly. However, as the scaling increases, small-delay defects which are caused by resistiveshort, resistive-open, or resistive-via become serious problems. The proposed method uses a signature analysis and a scan design to detect small delay defects. The proposed measurement technique measures the delay of the explicitly sensitized paths with the resolution of the on-chip variable clock generator. The proposed scan design realizes complete on-chip delay measurement in short measurement time using the proposed delay measurement technique and extra latches for storing the test vectors. Index terms: Very large scale integration (VLSI), signature register, design for testability (DFT). I. INTRODUCTION Semiconductor process technology has developed rapidly to improve the performance of modern VLSI chips. As a continuous process scaling produces large-scale chips. With the rapid development of semiconductor technology, delay testing has become a critical problem. The major types of delays are occurred because of resistive-shorts, resistive-open and resistive-vias. These small delays can cause a fail of a system if they are activated for a longer time. Furthermore their life time become very short. Therefore to overcome these drawbacks some embedded delay measurement techniques have been proposed. Scan-based delay measurement technique with variable clock generator is one of these on-chip delay measurement techniques. The delay of path is measured by continuous sensitization of path under measurement with test clock width reduced gradually. The advantage of this technique is its high accuracy. This technique has some drawbacks. Therefore we present a scan-based delay measurement technique which uses signature registers. A.EXISTING SYSTEM These days, various methods for small-delay defect detection have been proposed. Scan-based delay measurement technique with variable clock generator is most widely used. In this technique the delay of path is measured by continuous sensitization of path under measurement with test clock width reduced gradually by resolution. In this technique the accuracy is high. The reason of the high accuracy is that the technique measures just the period between the time when a transition is launched to the measured path and the time when the transition is captured by the flip flop connected to the path, directly. The variation of the measured value just depends on the variation of the clock frequency of the clock generator. Therefore, if the clock generator is compensated the influence of the process variation, the measured value does not depend on the process variation. B. DRAWBACKS OF EXISTING SYSTEM Disadvantages of existing system are as follows.  The gap between the functional clock and scan clock frequency increases. Therefore the measurement time becomes too long to make it practical. Area reduction technique of the self testing scan-FFs is also proposed. The flip flop reduces the required number of scan operations, which makes the measurement time practical.  However, the area overhead of these methods is still expensive compared with the conventional scan designs. C. PROPOSED SYSTEM We present a scan-based delay measurement technique using signature registers for small-delay defect detection. The proposed method does not require the expected test vector because the test responses are analyzed by the signature registers. The overall area cost is of the order of conventional scan designs for design for test (DFT). The measurement time of the proposed technique is smaller than conventional scan-based delay measurement. The extra signature registers can be reused for testing, diagnosis, and silicon debugging. D. ADVANTAGES OF PROPOSED SYSTEM  Proposed method does not require expected test vectors.  The measurement time is smaller. www.ijmer.com 3042 | Page
  • 2. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645  The overall area cost is of the order of conventional scan designs for design for test (DFT). E. VARIABLE CLOCK GENERATOR In the proposed method, the clock width should be reduced continuously by a constant interval. It is difficult for an external tester to control this clock operation. Therefore an on-chip variable clock generator is used for the proposed method. In this paper, we use the on-chip variable clock generator. Figure1 illustrates the circuit. The circuit consists of the arbitrary clock frequency generator and the 2-pulse generator. The arbitrary clock frequency generator generates an arbitrary clock width. The 2-pulse generator generates 2-pulse test clocks with arbitrary timing in response to a trigger signal. Figure 1: variable clock frequency generator II.DELAY MEASUREMENT TECHNIQUE USING SIGNATURE REGISTER The proposed measurement is scan-based delay measurement. The difference from the existing one is use of signature registers and additional latches. In this measurement latches are used to store test vector after scan-in operation. A.SCAN FLIP FLOPS An important flip-flop function for ASIC testing is so-called scan capability. The idea is be able to drive the flip-flop’s D input with an alternate source of data during device testing. When all of the flip-flops are put into testing mode, a test pattern can be “scanned in” to the ASIC using the flip-flops’ alternate data inputs. After the test pattern is loaded, the flip-flops are put back into “normal” mode, and all of the flip-flops are clocked normally. After one or more clock ticks, the flip-flops are put back into test mode, and the test results are “scanned out. Figure2 shows the gate level description of scan flip flop. The lines D, Q, and clk are the input, output, and clock lines, respectively. When se0=0, the flip flop is in normal operation mode. When se0=1 and se1=1, the flip flop is in scan operation mode. When se0=1, se1=0, the flip flop loads the value stored in the latch connected to the latch line. The lines si and s0 are the input and output for constructing the scan path. Figure 2: scan flip flop B.RECONFIGURABLE SIGNATURE REGISTER Signature analysis registers are often used in combination with standard LFSRs for on-chip self test of VLSI circuits. The signature register for the proposed measurement requires the following functions to meet the demand of the proposed measurement. • Capturing the test response in arbitrary timing. • Shifting out the signature data in arbitrary timing. Figure3 shows the architecture of the signature register for the proposed measurement. It has four flip flops FF0, FF1, FF2, and FF3. . When sge = 1 , it works as a signature register. When sge=0, it works as a shift register. The line in is the input of the signature register. The clock line is controlled by sck . When sck = 0 , the signature register does not capture the input value. When sck = 1 , the signature register captures the input value synchronizing with the positive edge of clk . By controlling sck, the signature registers capture only the target test response. The output is sg0. The measurement system requires multiple signature registers generally. www.ijmer.com 3043 | Page
  • 3. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 Figure3: 4- bit reconfigurable signature register III.DELAY MEASUREMENT SYSTEM Delay measurement system is shown figure 4. The proposed system consists of the low cost tester and the chip with the variable clock generator (VCG) explained in and a BCD decoder. The chip is assumed to have single functional clock in the proposed method, and the chip has two reset lines for initializing the flip flops and the signature registers independently. The reset operations are controlled by the tester. The low cost tester controls the whole measurement sequence. The clock frequency tck is slower than the functional clock. The line sg0 retrieves the signature data from the signature registers to estimate the measured delay. The line sci sends the test vectors to the scan input of the chip. The line sc0 gets the data of the flip flops from the scan output of the chip. In the proposed measurement sequence, sc0 is not used. However, it is used to check the flip flops or the additional latches before the measurement. The line cs is the clock control line. The proposed measurement uses both the slow tester clock tck and the fast double pulse generated by on-chip VCG. The line selects the slow and fast clock. If cs is 1, the fast clock is sent to the clock line clk of the components. Otherwise the slow tester clock tck is sent. The lines trg and cnt are the input lines for VCG. The fast double pulse is launched synchronizing with the positive edge of trg . The line cnt controls the width of the double pulse. The line se controls the scan flip flops. The line lck controls the latches for storing test vectors. The lines scj 0, scj1…..scjl-1 are the inputs for the encoded data to control the capture operation of the signature registers. The BCD decoder decodes the encoded input data to the control data of the signature registers sck0…sck m-1. As explained later, the decoder is used to reduce the input lines for the control data of the signature registers. The sge is the enable signal for the signature registers. The control lines of the signature registers are connected to the BCD decoder. Figure 4: measurement system A. MEASUREMENT SEQUENCE PER TEST VECTOR When the measurement system has m signature registers, m paths can be measured in parallel maximally. The measurement strategy using the example is depicted in figure5. In this example, the proposed method consists of six flip flops 𝐹𝐹0 -𝐹𝐹5 .the flip flops are classified to the two clusters 𝑐𝑙0 and𝑐𝑙1 . Each cluster has its own signature register 𝑆𝐼𝐺0 and 𝑆𝐼𝐺1 respectively. The paths𝑝1 , 𝑝2 , 𝑝3 , 𝑝5 are sensitized by the test vector (𝐹𝐹0 , 𝐹𝐹1 , 𝐹𝐹2 , 𝐹𝐹3 , 𝐹𝐹4 , 𝐹𝐹5 ) = (0, 0, 1, 0, 1, 1).The test response of𝑝 𝑖 , is captured by𝐹𝐹𝑖 . The expected test response is (𝐹𝐹0 , 𝐹𝐹1 , 𝐹𝐹2 , 𝐹𝐹3 , 𝐹𝐹4 , 𝐹𝐹5 ) = (1,1,0,1,0,0). The paths 𝑝1 and 𝑝2 are measured by 𝑆𝐼𝐺0 . The paths 𝑝3 and 𝑝5 are measured by 𝑆𝐼𝐺1 . The combination of two paths, one of which is selected from 𝑝1 and𝑝2 , the other which is selected from 𝑝3 and 𝑝5 , can be measured simultaneously. First, the test vector is set to the flip flops with scan-in operation. After that, the values of flip flops are set to extra latches. Second, the first stage is performed. Third the second stage is performed. In each stage, the paths under measurement are tested multiple times with reducing test clock width. Steps (b) and (c) show the state after test execution. The flip flops hold the test response. The latches hold the test vector. After testing, the responses are shifted to signature registers with clock operation of𝑐𝑙𝑘. The number of required shift clocks varies in each stage. www.ijmer.com 3044 | Page
  • 4. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 Figure 5: measurement of paths sensitized in attest vector in parallel. (a) scan-in test vector and store it in latches. (b) Sending test responses of 𝑝1 and 𝑝5 to 𝑆𝐼𝐺0 and𝑆𝐼𝐺1 . (c) Send test responses of 𝑝2 and 𝑝3 𝑆𝐼𝐺0 and 𝑆𝐼𝐺1 . Figure6 shows the timing chart of operation. The low cost tester controls the whole measurement sequence. For measurement both VCG clock and tester clock are used. The clock controlled by 𝑐𝑠. The triggered signal 𝑡𝑟𝑔 and control signal 𝑐𝑛𝑡 are provided to VCG. The control data 𝑐𝑛𝑡 is updated after each testing operation. In 𝑆𝑇𝐺0 , 𝑆𝐼𝐺0 captures the test response in second shift-out clock. Therefore 𝑠𝑐𝑘0 turns to 1 synchronizing with the negative edge of first clock of the shift-out operation. The latch clock 𝑙𝑐𝑘 captures the value of the flip flops just after scan-in operation is finished. 1) Scan-in test vector. 2) Set initial vector to 𝐿 𝑗 . 3) Apply test clock. 4) Transfer test responses to signature registers. 5) Retrieve signature data. Figure 6: timing chart of the sequence of figure5. B.WHOLE MEASUREMENT SEQUENCE Let us assume that the test set for measurement 𝑇𝑆 has 𝑁 𝑇𝑉 test vectors𝑡𝑣0 , … . . 𝑡𝑣 𝑁𝑇𝑉 −1 . The number of stages of 𝑡𝑣 𝑖 is𝑁 𝑠𝑡(𝑖) . Before measurement, we have to check if the flip flops, the latches, and the clock generator work correctly by applying test vectors. After that the following measurement sequence is executed. Step 1: Initialize the variable𝑖 = 0. Step 2: if 𝑖 is equal to𝑁 𝑇𝑉 , finish, otherwise initialize the variable 𝑗 to0, and set 𝑡𝑣 𝑖 to the flip flops with scan-in operation. www.ijmer.com 3045 | Page
  • 5. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 Step 3: send the values of flip flops to the latches. Step 4: the paths included in 𝑆𝑇𝐺𝑗 are measured simultaneously. After that, 𝑗is updated to (𝑗 + 1). Step 5: if 𝑗 equal to 𝑁 𝑠𝑡(𝑖) , go to step 6, otherwise load the test vector from the latches to flip flops, and go to step 4. Step 6: 𝑖 is updated to 𝑖 + 1 , and go to step 2. C.TESTER CHANNEL REDUCTION If 𝑠𝑐𝑘 of each signature register is directly fed to the inputs of the chip, it requires the same number of extra inputs as the number of the signature registers. It increases tester channel width. To keep the tester channel width short, we use BCD decoder. The decoder circuit transforms 𝑛 bit binary code in to the corresponding 2 𝑛 width decimal code. The example to encode 𝑠𝑐𝑘 bit sequences to the corresponding binary code is shown in figure7. It consists of three clusters 𝑐𝑙0 , 𝑐𝑙1 , and 𝑐𝑙2 . Each cluster has three flip flops. Consider the case that test response of sensitized paths are captured in 𝐹𝐹01 , 𝐹𝐹12 , 𝐹𝐹20 .in the shift out operation after a testing, the test response of 𝐹𝐹01 is captured by 𝑆𝐼𝐺0 two clocks later. Therefore the bit sequence “010” should be sent to 𝑠𝑐𝑘0 .the test response of 𝐹𝐹12 is captured by 𝑆𝐼𝐺1 one clock later. Therefore the bit sequence “100” should be sent to 𝑠𝑐𝑘1 . The test response of 𝐹𝐹02 is captured by 𝑆𝐼𝐺2 three clocks later. Therefore bit sequence “001” should be sent to 𝑠𝑐𝑘2 . Each bit value of this bit sequence is grouped. The group of 0 th bit value is 𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 010. Those of first bit values and second bit values are 𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 100, 𝑠𝑐𝑘0 𝑠𝑐𝑘1 𝑠𝑐𝑘2 = 001, respectively. We call each group slice. Here, 𝑠𝑙 𝑖 represents the slice of 𝑖 𝑡ℎ bit. Finally the decimal codes are transformed to binary code. The 0th slice 𝑠𝑙0 “010” is transformed to “10”. The 1st slice 𝑠𝑙1 “100” is transformed to “10”. The second slice 𝑠𝑙2 “001” is transformed to “11”. As a result the bit width of data is reduced from 3 bit to 2 bit by transformation. Generally, the width of the slice of 𝑠𝑐𝑘 is 𝑛, the width of encoded slice of 𝑠𝑐𝑗 is 𝑙𝑜𝑔2 𝑛 . However, for the encoding, each slice is permitted only 1 bit with the value 1. More than two bits with the value are not permitted. Figure 7: encoding the output data of BCD decoder D.TEST RESPONSE TRACING The target paths of proposed measurement are single-path sensitizable. In single path sensitizable measurement, it is guaranteed that once the test fails the test with higher frequency than the failing frequency is fail. Let 𝐿 𝑆𝐼𝐺 be the length of the signature register, the measurement sequence of a path with test response tracing mode is described as follows. Step1: SIG is initialized. Step2: Test vector is loaded from the latches. Step3: Test clock width T is set to normal clock width. Step4: Test clock is applied. Step5: The test response is sent to SIG with scan-out operation. Step6: If testing is equal to 𝑁 𝑚𝑒𝑎𝑠 or multiple number of 𝐿 𝑆𝐼𝐺 , the values of flip flops of SIG is retrieved. After that, if testing time is equal to 𝑁 𝑚𝑒𝑎𝑠 , go to step 7, otherwise go back to step 2after the test clock width T is updated to 𝑇 − Δ𝑇 . Step 7: The delay value is estimated by comparing the retrieved signature value and the signature table. www.ijmer.com 3046 | Page
  • 6. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 IV.SIMULATION RESULTS In this section, on-chip path delay under different process variations will be simulated and measured. During the simulation we measure the delay of each path under test. Random faults were injected in circuits to generate erroneous data. Random input patterns were applied to the ISCAS-89 benchmark circuits and compared with proposed method. The length of the signature register is 8 bit. The test set consists of test vectors which detects all single-path sensitizable transition faults. The paths sensitized by these test vectors are measured. The measurement times using the proposed scan design and is calculated by Tsig =time required for {whole scan-in + double pulse + SIG data} Simulation results of delay measurement using signature register are shown in figure8 and figure9 Figure 8: Simulation results Figure9: simulation results www.ijmer.com 3047 | Page
  • 7. International Journal of Modern Engineering Research (IJMER) www.ijmer.com Vol. 3, Issue. 5, Sep - Oct. 2013 pp-3042-3048 ISSN: 2249-6645 The device utilization summary for the delay measurement technique is shown in Table I Logic utilization Total number of slice register Number used as flip flop Number used as latches Number of 4 input LUTs Number of occupied cells Number of slices containing only related logic Number of slices containing unrelated logic Number of 4 input LUTs Number of bonded IOBs Number of BUFGMUXs Average fan out non clock nets used 43 31 12 47 47 47 available 7,168 Utilization 1% 7,168 3,584 47 1% 1% 100% 0 47 0% 47 7,168 13 141 3 8 2.76 Table I: device utilization summary 1% 9% 37% CONCLUSION • The proposal of the delay measurement method using signature analysis and variable clock generator. • The proposal of a scan design for the delay measurement of internal paths of SOC. The first proposal can be applied not only SOC but also field programmable gate array (FPGA). A future work is the low cost application of the proposed measurement to FPGA. When we measure short paths the measurement error can increase for the IR drop induced by higher test clock frequency. It can reduce the test quality. Another future work is the reduction and the avoidance of the measurement error caused by the IR drop. REFERENCES [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] N. Ahmed and M. Tehranipoor, Nanometer Technology Designs: High-Quality Delay Tests. New York: Springer, 2007. K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “A small-delay defect detection technique for dependable LSIs,” in Proc. IEEE Symp. VLSI Circuits, 2008, pp. 64–65. B. I. Dervisoglu and G. E. Stong, “Design for testability: Using scanpath techniques for path-delay test and measurement,” in Proc. IEEE Int. Test Conf. (ITC), 1991, pp. 365–374. K. Noguchi, K. Nose, T. Ono, and M. Mizuno, “An area reduction technique of self-testing FFs for small-delay defects detection,” The Inst. Electron., Inf. Commun. Eng. (IEICE), Tech. Rep. DC2009-16, 2009. A. Krstic and K.-T. Chen, Delay Fault Testing for VLSI Circuits. Norwell, MA: Kluwer, 1998. J.-J. Liou, L.-C. Wang, and K.-T. Cheng, “Enhancing test efficiency for delay fault testing using multiple-clocked schemes,” in Proc. Des. Autom. Conf. (DAC), 2002, pp. 371–374. H. Yan and A. D. Singh, “Evaluating the effectiveness of detecting delay defects in the slack interval: A simulation study,” in Proc. IEEE Int. Test Conf. (ITC), 2004, pp. 242–251. J. Lee and E. J. McCluskey, “Failing frequency signature analysis,” in Proc. Int. Test Conf. (ITC), 2008, pp. 1–8. R. Tayade and J. A. Abraham, “On-chip programmable capture for accurate path delay test and characterization,” in Proc. IEEE Int. Test Conf. (ITC), 2008, pp. 148–159. M. Beck, O. Barondeau, X. Lin, and R. Press, “Logic design for on-chip test clock generation-implementation details and impact on delay test quality,” in Proc. IEEE Des., Autom. Test Eur. Conf. Exhib. (DATE), 2005, pp. 56–61. www.ijmer.com 3048 | Page