SlideShare une entreprise Scribd logo
1  sur  5
Télécharger pour lire hors ligne
International Journal of Research in Advent Technology, Vol.3, No.7, July 2015
E-ISSN: 2321-9637
31
A Novel Design of 32 Bit Unsigned Multiplier Using
Modified CSLA
Chandana Pittala1
, Devadas Matta2
PG Scholar.VLSI System Design 1
, Asst. Prof. ECE Dept. 2
, Vaagdevi College of Engineering,Warangal,India.1, 2
Email: chanda9.p@gmail.com1
, das.deva@gmail.com2
Abstract - In VLSI design speed, power and chip area are the three conflicting constraints, most often
considered in determining the performance and efficiency of the system. In this paper, the VLSI design of a high
performance and low power 32-bit unsigned modified carry select adder (MCSLA) based multiplier using CBL
term is been proposed and compared with the 32-bit unsigned conventional carry select adder (CSLA) based
multiplier. Both the design of multiplier multiplies two 32-bit unsigned integer values and gives a product term
of 64-bit value.The result analysis shows that the power area delay product reduction is possible with the
proposed MCSLA based multiplier when compared to CSLA based multiplier. These two 32-bit unsigned
multipliers are simulated using Modelsim and synthesized using Xilinx.
Index Terms- Area; CSLA; Delay; MCSLA; Power; Unsigned Multiplier; VHDL Modeling & Simulation.
1. INTRODUCTION
As the scale of integration is being growing, more and
more sophisticated signal processing systems are
being implemented on a VLSI chip [3]. The Area
speed of the system remains to be the two major
design tools; power consumption has become a critical
concern in today’s VLSI system design [8]. As we
know millions of instruction per second are performed
in microprocessors. Hence, speed of operation is the
most important constraint to be considered [3]. Due to
device portability, miniaturization of device should be
high and power consumption should be low. So, a
VLSI designer has to optimize these three parameters
in a design [2].
Addition usually impacts widely the overall
performance of digital systems and is a crucial
arithmetic function. In electronic applications, adders
are most widely used as multipliers; Digital Signal
Processor to execute various algorithms like Fast
Fourier Transform (FFT), Finite Impulse Response
(FIR) and Infinite Impulse Response (IIR).The Half-
Adders (HA) are simplest single bit adders [1]. The
full-adders are single bit adders with the provision of
carry input (i/p) and output (o/p). The full-adders are
typically composed of two Half-Adders (HA) hence
are expensive than half-adders in terms of time, area
and interconnection complexity [8]. The common
approach for designing multi-bit adders is to form a
chain of FA blocks by connecting the carry out bit of a
FA to the carry in bit of the next FA block [1],[7][8].
Multiplication is one of the basic arithmetic
operations. Multiplication operation is also called as a
adding and shifting method [5], [8]. Multiplication
operation involves two methods: one is Generation of
partial products and another one is summation. The
speed of multiplication mainly depends on the Partial
product generation and/or summation. Therefore,
using high speed multipliers is a critical requirement
for high performance processors [5], [6], [7].
Our study is focused on the comparative analysis
of adders implemented as a multipliers based on area,
power consumption and time needed for calculation.
In this paper, the VLSI design of 32-bit unsigned
modified carry select adder (MCSLA) based multiplier
using CBL term is been proposed and compared with
the 32-bit unsigned conventional carry select adder
(CSLA) based multiplier. Here the two unsigned 32-
bit multipliers multiplies (N*N) and gives the product
term as 64-bit (2N) output [1], [8]. To model and
simulate the multiplier design a VHDL, Very high
speed integrated circuit Hardware Description
Language was used [2], [3].
Hence design of area and power-efficient high-
speed data path logic systems are one of the most
substantial areas of research in VLSI system design.
Our main interest must be on design of a better
architecture of basic building block i.e. adder [1]. So,
we need Digital Signal Processing (DSP) style system
for area efficient, less delay and low power
consumption [6]. Our basic building block must
dominate in Digital Signal Processing (DSP)
application, VLSI architecture and where ever reduced
delay is needed is needed.
2. CARRY SELECT ADDER
A conventional carry select adder (CSLA) is an RCA–
RCA configuration which has two units: SCG (The
sum and carry generator unit) and SCS (The sum and
carry selection unit) [1], [4] and [8].In Sum Carry
Generation unit (SCG), a pair of sum bits and output-
carry bits corresponding to the anticipated input-carry
(Cin =0 and Cin=1) are generated [7]. In Sum Carry
International Journal of Research in Advent Technology, Vol.3, No.7, July 2015
E-ISSN: 2321-9637
32
Selection unit (SCS) we compute these alternative
results in parallel and subsequently selecting one out
of each pair for final-sum and final-output-carry with
single or multiple stages of hierarchical techniques.
The correct computation is chosen when Cin is
delivered, with the help of a multiplexer to get the
desired output [7], [4]. The structure of conventional
CSLA is shown in Fig. 1.
Fig.1. Conventional carry select adder
In general, we can write the algorithm as:
If Carry in =1, then the sum and carry out are given
by,
Sum (i) =a (i) xor b (i) xor '1' (1)
Carry (i+1) = (a (i) and b (i)) or (b (i) or a (i)) (2)
If Carry in =0, then the sum and carry out are given
by,
Sum (i) = a (i) xor b (i) (3)
Carry (i+1) = a (i) and b (i) (4)
The sum function:
Si= CiSi
0
+ CiSi
1
(5)
The carry function:
Ci+1= CiCi+1
0
+ CiCi+1
1
(6)
The carry select adder is used in many digital
computational systems to reduce the problem of
propagation delay [7], [9]. The speed is improved with
this technique by saving the time used for computation
[4]. The conventional carry select adder (CSLA) is not
efficient in the case of area because it uses multiple
pairs of Ripple Carry Adders (RCA) to generate
partial sum and carry by considering carry input Cin =0
and Cin =1 separately. The SCG unit consumes most
of the logic resources of CSLA and significantly
contributes to the critical path [4], [8].
3. PROPOSED MODIFIED CSLA USING
COMMON BOOLEAN LOGIC
To remove the duplicate adder cells in the
conventional CSLA, an area efficient CSLA is
proposed by sharing Common Boolean Logic (CBL)
term in Sum Carry Generation unit [9]. The main idea
of this work is to use CBL term instead of RCA with
carry Cin =1, in order to reduce the area and power of
conventional CSLA. Thus, modified CSLA (MCSLA)
is designed such that it occupies less area and has low
power consumption than conventional CSLA. The
structure of Proposed MCSLA is shown in Fig. 2.
Fig.2. Proposed modified carry select adder using
CBL term.
To share the Common Boolean Logic term, we
only need to implement an XOR gate and one INV
gate to generate the summation pair. And to generate
the carry pair, we need to implement one OR gate and
one AND gate. In this way, the summation and carry
circuits can be kept parallel.
Fig.3. Internal structure of the proposed modified
carry select adder constructed by sharing the common
boolean logic term.
International Journal of Research in Advent Technology, Vol.3, No.7, July 2015
E-ISSN: 2321-9637
33
In general, we can write the algorithm as:
If Carry in =1, then the sum and carry out are given
by,
Sum (i) =a (i) xor b (i). (7)
Carry (i+1) = a (i) or b (i) (8)
If Carry in =0, then the sum and carry out are given
by,
Sum (i) = (a (i) xor b (i))' (9)
Carry (i+1) = a (i) and b (i) (10)
The sum function:
Si= CiSi
0
+ CiSi
1
(11)
The carry function:
Ci+1= CiCi+1
0
+ CiCi+1
1
(12)
TABLE I: Truth Table of single bit full adder, where
the upper half part is the case of Cin=0 and the lower
half part is the case of Cin=1
While analyzing the truth table of single bit full
adder, result shows that the output of summation
signal as carry-in signal is logic “0” is inverse signal
of itself as carry-in signal is logic “1” [1].The speed is
improved with this technique by saving the time used
for computation. The area occupied and power
required for this design is less when compared to
conventional CSLA because CBL term is used instead
of an RCA for Cin =1, to generate partial sum and
carry [1].
4. UNSIGNED MULTIPLIER
The multiplier is one of the hardware key blocks in
Digital signal processing techniques [6]. The
multiplier involves generation of partial products, one
for each digit in the multiplier as in Fig.4.These partial
products are then summed to produce the final
product. The N-bit multiplier multiplies two N-bit
values and gives the final product term as a 2N-bit
value [2], [8], [9]. A Partial Schematic of 32-bit
Unsigned Multiplier is shown in Fig.4.
Fig.4. A partial schematic of unsigned multiplier
We use the following algorithm to implement the
multiplication operation for unsigned data [10].
5. MULTIPLICATION ALGORITHM
Let the product register size be 64 bits and the
multiplicand register size is 32 bits. Store the
multiplier in the least significant half of the product
register. Clear the most significant half of the product
register [2], [8], [10].
Repeat the following steps for 32 times:
1. If the least significant bit (LSB) of the
product register is “1” then add the
multiplicand to the most significant (MSB)
half of the product register.
2. Shift the content of the product register one
bit to the right (ignore the shifted-out bit).
3. Shift-in the carry bit into the most significant
bit of the product register.
International Journal of Research in Advent Technology, Vol.3, No.7, July 2015
E-ISSN: 2321-9637
34
The flow chart for the multiplication algorithm is
shown in Fig.5.
Fig.5. Flow chart of multiplication algorithm
The block diagram for n-bit Multiplier using the
multiplication algorithm [8], [9], [10] is shown in
Fig.6.
Fig.6. Multiplier block diagram for two n-bit
values
6. VHDL SIMULATION RESULTS
The VHDL model for both the 32-bit unsigned
multipliers has been simulated using Modelsim and
synthesized using Xilinx and presented in this section
[9], [10].
6.1. 32-bit unsigned CSLA multiplier
6.1.1. Schematic
Fig.7. Schematic of 32-bit unsigned CSLA
multiplier
6.1.2. Simulation result
Fig.8. Simulation result of 32-bit unsigned CSLA
multiplier
6.2. 32-bit unsigned MCSLA multiplier
6.2.1. Schematic
Fig.12. Schematic of 32-bit unsigned MCSLA
multiplier
International Journal of Research in Advent Technology, Vol.3, No.7, July 2015
E-ISSN: 2321-9637
35
6.2.2. Simulation result
Fig.13. Simulation result of 32-bit unsigned
MCSLA multiplier
TABLE II: Performance comparison of proposed
MCSLA with CSLA
The performance comparison of 32-bit unsigned
proposed modified carry select adder (MCSLA) based
multiplier with the 32-bit unsigned conventional carry
select adder (CSLA) based multiplier is shown in
Table. II.
7. CONCLUSION
In VLSI design Power, delay and area are the most
important factors that limits the performance of any
circuit. This work presents a simple approach to
reduce the area, delay and power used to design CSLA
architecture. The regular carry select adder has the
disadvantage of more power consumption, more delay
and occupying more chip area. In this paper, the VLSI
design of a high performance and low power 32-bit
unsigned proposed modified carry select adder
(MCSLA) based multiplier using CBL term is been
proposed and compared with the 32-bit unsigned
conventional carry select adder (CSLA) based
multiplier. The result analysis shows that the 45%
power area delay product reduction is possible with
the use of the proposed MCSLA based multiplier
compared to conventional CSLA based multiplier.
8. REFERENCES
[1] David Jeff Jackson and Sidney Joel
Hannah,“Modelling and Comparison of Adder
Designs with Verilog HDL”, 25th
Southeastern
Symposium on System Theory, pp.406-410,
March 1993.
[2] HasanKrad and AwsYousi, "Design and
Implementation of a Fast Unsigned 32-bit
Multiplier Using VHDL", 2010.
[3] J. R. Armstrong and F.G. Gray, “VHDL Design
Representation and Synthesis”, 2nd ed.,
Prentice Hall, USA, 2000.ISBN:0-13-021670-4.
[4] M.N.V.anusha and K. Babulu, “Design and
Analysis of Carry Select Adder with RCA and
BEC Circuits”, IJSETR, Volume 3, Issue 11,
November 2014.
[5] P. Asadi and K. Navi, "A novel highs-speed 54-
54 bit multiplier", Am.J Applied Sci.,vol.4(9),
pp.666-672. 2007.
[6] P. C. H. Meier and R. A. Rutenbar, L. R. Carley.:
"Exploring Multiplier Architecture and Layout for
Low Power", CIC'96, 1996.
[7] P. S. Mohanty, "Design and Implementation of
Faster and Low Power Multipliers", Bachelor
Thesis. National Institute of
Technology,Rourkela, 2009.
[8] SriRamya.P and SuhaliAfroz.MD, “VLSI
Implementation of 32 Bit Unsigned Multiplier
Using an Efficient CLAA and CSLA”, IPASJ
International Journal of Electronics
&Communication,Volume 2, Issue 7, July2014.
[9] Sumit Dixit and Ghanshyam, “VLSI
Implementation of Modified Design of 32 Bit
Integer Unsigned Multiplier Using CSLA and
CLAA”, International Journal of Research in
Advent Technology, Vol.3, No.1, January 2015
E-ISSN: 2321-9637.
[10]V.Vijayalakshmil,R.Seshadd and
Dr.S.Ramakrishnan, “Design and Implementation
of 32 Bit Unsigned Multiplier Using CLAA and
CSLA”,978-1-4673-5301-4/13/$31.00 ©2013
IEEE.

Contenu connexe

Tendances

JOURNAL PAPER
JOURNAL PAPERJOURNAL PAPER
JOURNAL PAPER
Raj kumar
 
High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logic
iaemedu
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
Navya Shree
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Angel Yogi
 

Tendances (20)

implementation and design of 32-bit adder
implementation and design of 32-bit adderimplementation and design of 32-bit adder
implementation and design of 32-bit adder
 
R04605106110
R04605106110R04605106110
R04605106110
 
JOURNAL PAPER
JOURNAL PAPERJOURNAL PAPER
JOURNAL PAPER
 
High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logic
 
Area efficient parallel LFSR for cyclic redundancy check
Area efficient parallel LFSR for cyclic redundancy check  Area efficient parallel LFSR for cyclic redundancy check
Area efficient parallel LFSR for cyclic redundancy check
 
IJETT-V9P226
IJETT-V9P226IJETT-V9P226
IJETT-V9P226
 
Vedic multiplier
Vedic multiplierVedic multiplier
Vedic multiplier
 
Modified approximate 8-point multiplier less DCT like transform
Modified approximate 8-point multiplier less DCT like transformModified approximate 8-point multiplier less DCT like transform
Modified approximate 8-point multiplier less DCT like transform
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
 
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo AdderIRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
 
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
 
A High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorA High performance unified BCD adder/Subtractor
A High performance unified BCD adder/Subtractor
 
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERSOPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
 
F011123134
F011123134F011123134
F011123134
 
An efficient hardware logarithm generator with modified quasi-symmetrical app...
An efficient hardware logarithm generator with modified quasi-symmetrical app...An efficient hardware logarithm generator with modified quasi-symmetrical app...
An efficient hardware logarithm generator with modified quasi-symmetrical app...
 
FPGA Implementation of FIR Filter using Various Algorithms: A Retrospective
FPGA Implementation of FIR Filter using Various Algorithms: A RetrospectiveFPGA Implementation of FIR Filter using Various Algorithms: A Retrospective
FPGA Implementation of FIR Filter using Various Algorithms: A Retrospective
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
 
Implementation of an Effective Self-Timed Multiplier for Single Precision Flo...
Implementation of an Effective Self-Timed Multiplier for Single Precision Flo...Implementation of an Effective Self-Timed Multiplier for Single Precision Flo...
Implementation of an Effective Self-Timed Multiplier for Single Precision Flo...
 
W4408123126
W4408123126W4408123126
W4408123126
 
Elliptic curve scalar multiplier using karatsuba
Elliptic curve scalar multiplier using karatsubaElliptic curve scalar multiplier using karatsuba
Elliptic curve scalar multiplier using karatsuba
 

En vedette

Paper id 21201433
Paper id 21201433Paper id 21201433
Paper id 21201433
IJRAT
 
Paper id 24201427
Paper id 24201427Paper id 24201427
Paper id 24201427
IJRAT
 
Paper id 37201535
Paper id 37201535Paper id 37201535
Paper id 37201535
IJRAT
 
Paper id 37201539
Paper id 37201539Paper id 37201539
Paper id 37201539
IJRAT
 
Paper id 36201528
Paper id 36201528Paper id 36201528
Paper id 36201528
IJRAT
 
Paper id 24201443
Paper id 24201443Paper id 24201443
Paper id 24201443
IJRAT
 
Paper id 2320146
Paper id 2320146Paper id 2320146
Paper id 2320146
IJRAT
 
Paper id 2420143
Paper id 2420143Paper id 2420143
Paper id 2420143
IJRAT
 
Paper id 212014116
Paper id 212014116Paper id 212014116
Paper id 212014116
IJRAT
 
Paper id 25201452
Paper id 25201452Paper id 25201452
Paper id 25201452
IJRAT
 
Paper id 25201472
Paper id 25201472Paper id 25201472
Paper id 25201472
IJRAT
 
Paper id 21201486
Paper id 21201486Paper id 21201486
Paper id 21201486
IJRAT
 
Paper id 36201515
Paper id 36201515Paper id 36201515
Paper id 36201515
IJRAT
 
Paper id 252014156
Paper id 252014156Paper id 252014156
Paper id 252014156
IJRAT
 

En vedette (20)

Paper id 28201425
Paper id 28201425Paper id 28201425
Paper id 28201425
 
Paper id 21201433
Paper id 21201433Paper id 21201433
Paper id 21201433
 
Paper id 24201427
Paper id 24201427Paper id 24201427
Paper id 24201427
 
Paper id 37201535
Paper id 37201535Paper id 37201535
Paper id 37201535
 
Paper id 312201518
Paper id 312201518Paper id 312201518
Paper id 312201518
 
Paper id 37201539
Paper id 37201539Paper id 37201539
Paper id 37201539
 
Paper id 36201528
Paper id 36201528Paper id 36201528
Paper id 36201528
 
Paper id 24201443
Paper id 24201443Paper id 24201443
Paper id 24201443
 
Paper id 2320146
Paper id 2320146Paper id 2320146
Paper id 2320146
 
Paper id 42201601
Paper id 42201601Paper id 42201601
Paper id 42201601
 
Paper id 41201622
Paper id 41201622Paper id 41201622
Paper id 41201622
 
Paper id 2420143
Paper id 2420143Paper id 2420143
Paper id 2420143
 
Paper id 212014116
Paper id 212014116Paper id 212014116
Paper id 212014116
 
Paper id 25201452
Paper id 25201452Paper id 25201452
Paper id 25201452
 
Paper id 25201472
Paper id 25201472Paper id 25201472
Paper id 25201472
 
Paper id 312201526
Paper id 312201526Paper id 312201526
Paper id 312201526
 
Paper id 21201486
Paper id 21201486Paper id 21201486
Paper id 21201486
 
Paper id 36201515
Paper id 36201515Paper id 36201515
Paper id 36201515
 
Paper id 42201607
Paper id 42201607Paper id 42201607
Paper id 42201607
 
Paper id 252014156
Paper id 252014156Paper id 252014156
Paper id 252014156
 

Similaire à Paper id 37201520

Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd Iaetsd
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2
IAEME Publication
 
NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688
Kunjan Shinde
 

Similaire à Paper id 37201520 (20)

128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder
 
Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
 
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
 
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAAVLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
Vlsi project presentation
Vlsi project presentationVlsi project presentation
Vlsi project presentation
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
IRJET- Asic Implementation of Efficient Error Detection for Floating Poin...
IRJET-  	  Asic Implementation of Efficient Error Detection for Floating Poin...IRJET-  	  Asic Implementation of Efficient Error Detection for Floating Poin...
IRJET- Asic Implementation of Efficient Error Detection for Floating Poin...
 
Copy of colloquium 3 latest
Copy of  colloquium 3 latestCopy of  colloquium 3 latest
Copy of colloquium 3 latest
 
Implementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderImplementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select Adder
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
VLSI IMPLEMENTATION OF AREA EFFICIENT 2-PARALLEL FIR DIGITAL FILTER
VLSI IMPLEMENTATION OF AREA EFFICIENT 2-PARALLEL FIR DIGITAL FILTERVLSI IMPLEMENTATION OF AREA EFFICIENT 2-PARALLEL FIR DIGITAL FILTER
VLSI IMPLEMENTATION OF AREA EFFICIENT 2-PARALLEL FIR DIGITAL FILTER
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI ArchitectureA Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
A Configurable and Low Power Hard-Decision Viterbi Decoder in VLSI Architecture
 
H010414651
H010414651H010414651
H010414651
 
Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2Analysis of different bit carry look ahead adder using verilog code 2
Analysis of different bit carry look ahead adder using verilog code 2
 
J43015355
J43015355J43015355
J43015355
 
NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688
 

Plus de IJRAT

Plus de IJRAT (20)

96202108
9620210896202108
96202108
 
97202107
9720210797202107
97202107
 
93202101
9320210193202101
93202101
 
92202102
9220210292202102
92202102
 
91202104
9120210491202104
91202104
 
87202003
8720200387202003
87202003
 
87202001
8720200187202001
87202001
 
86202013
8620201386202013
86202013
 
86202008
8620200886202008
86202008
 
86202005
8620200586202005
86202005
 
86202004
8620200486202004
86202004
 
85202026
8520202685202026
85202026
 
711201940
711201940711201940
711201940
 
711201939
711201939711201939
711201939
 
711201935
711201935711201935
711201935
 
711201927
711201927711201927
711201927
 
711201905
711201905711201905
711201905
 
710201947
710201947710201947
710201947
 
712201907
712201907712201907
712201907
 
712201903
712201903712201903
712201903
 

Paper id 37201520

  • 1. International Journal of Research in Advent Technology, Vol.3, No.7, July 2015 E-ISSN: 2321-9637 31 A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA Chandana Pittala1 , Devadas Matta2 PG Scholar.VLSI System Design 1 , Asst. Prof. ECE Dept. 2 , Vaagdevi College of Engineering,Warangal,India.1, 2 Email: chanda9.p@gmail.com1 , das.deva@gmail.com2 Abstract - In VLSI design speed, power and chip area are the three conflicting constraints, most often considered in determining the performance and efficiency of the system. In this paper, the VLSI design of a high performance and low power 32-bit unsigned modified carry select adder (MCSLA) based multiplier using CBL term is been proposed and compared with the 32-bit unsigned conventional carry select adder (CSLA) based multiplier. Both the design of multiplier multiplies two 32-bit unsigned integer values and gives a product term of 64-bit value.The result analysis shows that the power area delay product reduction is possible with the proposed MCSLA based multiplier when compared to CSLA based multiplier. These two 32-bit unsigned multipliers are simulated using Modelsim and synthesized using Xilinx. Index Terms- Area; CSLA; Delay; MCSLA; Power; Unsigned Multiplier; VHDL Modeling & Simulation. 1. INTRODUCTION As the scale of integration is being growing, more and more sophisticated signal processing systems are being implemented on a VLSI chip [3]. The Area speed of the system remains to be the two major design tools; power consumption has become a critical concern in today’s VLSI system design [8]. As we know millions of instruction per second are performed in microprocessors. Hence, speed of operation is the most important constraint to be considered [3]. Due to device portability, miniaturization of device should be high and power consumption should be low. So, a VLSI designer has to optimize these three parameters in a design [2]. Addition usually impacts widely the overall performance of digital systems and is a crucial arithmetic function. In electronic applications, adders are most widely used as multipliers; Digital Signal Processor to execute various algorithms like Fast Fourier Transform (FFT), Finite Impulse Response (FIR) and Infinite Impulse Response (IIR).The Half- Adders (HA) are simplest single bit adders [1]. The full-adders are single bit adders with the provision of carry input (i/p) and output (o/p). The full-adders are typically composed of two Half-Adders (HA) hence are expensive than half-adders in terms of time, area and interconnection complexity [8]. The common approach for designing multi-bit adders is to form a chain of FA blocks by connecting the carry out bit of a FA to the carry in bit of the next FA block [1],[7][8]. Multiplication is one of the basic arithmetic operations. Multiplication operation is also called as a adding and shifting method [5], [8]. Multiplication operation involves two methods: one is Generation of partial products and another one is summation. The speed of multiplication mainly depends on the Partial product generation and/or summation. Therefore, using high speed multipliers is a critical requirement for high performance processors [5], [6], [7]. Our study is focused on the comparative analysis of adders implemented as a multipliers based on area, power consumption and time needed for calculation. In this paper, the VLSI design of 32-bit unsigned modified carry select adder (MCSLA) based multiplier using CBL term is been proposed and compared with the 32-bit unsigned conventional carry select adder (CSLA) based multiplier. Here the two unsigned 32- bit multipliers multiplies (N*N) and gives the product term as 64-bit (2N) output [1], [8]. To model and simulate the multiplier design a VHDL, Very high speed integrated circuit Hardware Description Language was used [2], [3]. Hence design of area and power-efficient high- speed data path logic systems are one of the most substantial areas of research in VLSI system design. Our main interest must be on design of a better architecture of basic building block i.e. adder [1]. So, we need Digital Signal Processing (DSP) style system for area efficient, less delay and low power consumption [6]. Our basic building block must dominate in Digital Signal Processing (DSP) application, VLSI architecture and where ever reduced delay is needed is needed. 2. CARRY SELECT ADDER A conventional carry select adder (CSLA) is an RCA– RCA configuration which has two units: SCG (The sum and carry generator unit) and SCS (The sum and carry selection unit) [1], [4] and [8].In Sum Carry Generation unit (SCG), a pair of sum bits and output- carry bits corresponding to the anticipated input-carry (Cin =0 and Cin=1) are generated [7]. In Sum Carry
  • 2. International Journal of Research in Advent Technology, Vol.3, No.7, July 2015 E-ISSN: 2321-9637 32 Selection unit (SCS) we compute these alternative results in parallel and subsequently selecting one out of each pair for final-sum and final-output-carry with single or multiple stages of hierarchical techniques. The correct computation is chosen when Cin is delivered, with the help of a multiplexer to get the desired output [7], [4]. The structure of conventional CSLA is shown in Fig. 1. Fig.1. Conventional carry select adder In general, we can write the algorithm as: If Carry in =1, then the sum and carry out are given by, Sum (i) =a (i) xor b (i) xor '1' (1) Carry (i+1) = (a (i) and b (i)) or (b (i) or a (i)) (2) If Carry in =0, then the sum and carry out are given by, Sum (i) = a (i) xor b (i) (3) Carry (i+1) = a (i) and b (i) (4) The sum function: Si= CiSi 0 + CiSi 1 (5) The carry function: Ci+1= CiCi+1 0 + CiCi+1 1 (6) The carry select adder is used in many digital computational systems to reduce the problem of propagation delay [7], [9]. The speed is improved with this technique by saving the time used for computation [4]. The conventional carry select adder (CSLA) is not efficient in the case of area because it uses multiple pairs of Ripple Carry Adders (RCA) to generate partial sum and carry by considering carry input Cin =0 and Cin =1 separately. The SCG unit consumes most of the logic resources of CSLA and significantly contributes to the critical path [4], [8]. 3. PROPOSED MODIFIED CSLA USING COMMON BOOLEAN LOGIC To remove the duplicate adder cells in the conventional CSLA, an area efficient CSLA is proposed by sharing Common Boolean Logic (CBL) term in Sum Carry Generation unit [9]. The main idea of this work is to use CBL term instead of RCA with carry Cin =1, in order to reduce the area and power of conventional CSLA. Thus, modified CSLA (MCSLA) is designed such that it occupies less area and has low power consumption than conventional CSLA. The structure of Proposed MCSLA is shown in Fig. 2. Fig.2. Proposed modified carry select adder using CBL term. To share the Common Boolean Logic term, we only need to implement an XOR gate and one INV gate to generate the summation pair. And to generate the carry pair, we need to implement one OR gate and one AND gate. In this way, the summation and carry circuits can be kept parallel. Fig.3. Internal structure of the proposed modified carry select adder constructed by sharing the common boolean logic term.
  • 3. International Journal of Research in Advent Technology, Vol.3, No.7, July 2015 E-ISSN: 2321-9637 33 In general, we can write the algorithm as: If Carry in =1, then the sum and carry out are given by, Sum (i) =a (i) xor b (i). (7) Carry (i+1) = a (i) or b (i) (8) If Carry in =0, then the sum and carry out are given by, Sum (i) = (a (i) xor b (i))' (9) Carry (i+1) = a (i) and b (i) (10) The sum function: Si= CiSi 0 + CiSi 1 (11) The carry function: Ci+1= CiCi+1 0 + CiCi+1 1 (12) TABLE I: Truth Table of single bit full adder, where the upper half part is the case of Cin=0 and the lower half part is the case of Cin=1 While analyzing the truth table of single bit full adder, result shows that the output of summation signal as carry-in signal is logic “0” is inverse signal of itself as carry-in signal is logic “1” [1].The speed is improved with this technique by saving the time used for computation. The area occupied and power required for this design is less when compared to conventional CSLA because CBL term is used instead of an RCA for Cin =1, to generate partial sum and carry [1]. 4. UNSIGNED MULTIPLIER The multiplier is one of the hardware key blocks in Digital signal processing techniques [6]. The multiplier involves generation of partial products, one for each digit in the multiplier as in Fig.4.These partial products are then summed to produce the final product. The N-bit multiplier multiplies two N-bit values and gives the final product term as a 2N-bit value [2], [8], [9]. A Partial Schematic of 32-bit Unsigned Multiplier is shown in Fig.4. Fig.4. A partial schematic of unsigned multiplier We use the following algorithm to implement the multiplication operation for unsigned data [10]. 5. MULTIPLICATION ALGORITHM Let the product register size be 64 bits and the multiplicand register size is 32 bits. Store the multiplier in the least significant half of the product register. Clear the most significant half of the product register [2], [8], [10]. Repeat the following steps for 32 times: 1. If the least significant bit (LSB) of the product register is “1” then add the multiplicand to the most significant (MSB) half of the product register. 2. Shift the content of the product register one bit to the right (ignore the shifted-out bit). 3. Shift-in the carry bit into the most significant bit of the product register.
  • 4. International Journal of Research in Advent Technology, Vol.3, No.7, July 2015 E-ISSN: 2321-9637 34 The flow chart for the multiplication algorithm is shown in Fig.5. Fig.5. Flow chart of multiplication algorithm The block diagram for n-bit Multiplier using the multiplication algorithm [8], [9], [10] is shown in Fig.6. Fig.6. Multiplier block diagram for two n-bit values 6. VHDL SIMULATION RESULTS The VHDL model for both the 32-bit unsigned multipliers has been simulated using Modelsim and synthesized using Xilinx and presented in this section [9], [10]. 6.1. 32-bit unsigned CSLA multiplier 6.1.1. Schematic Fig.7. Schematic of 32-bit unsigned CSLA multiplier 6.1.2. Simulation result Fig.8. Simulation result of 32-bit unsigned CSLA multiplier 6.2. 32-bit unsigned MCSLA multiplier 6.2.1. Schematic Fig.12. Schematic of 32-bit unsigned MCSLA multiplier
  • 5. International Journal of Research in Advent Technology, Vol.3, No.7, July 2015 E-ISSN: 2321-9637 35 6.2.2. Simulation result Fig.13. Simulation result of 32-bit unsigned MCSLA multiplier TABLE II: Performance comparison of proposed MCSLA with CSLA The performance comparison of 32-bit unsigned proposed modified carry select adder (MCSLA) based multiplier with the 32-bit unsigned conventional carry select adder (CSLA) based multiplier is shown in Table. II. 7. CONCLUSION In VLSI design Power, delay and area are the most important factors that limits the performance of any circuit. This work presents a simple approach to reduce the area, delay and power used to design CSLA architecture. The regular carry select adder has the disadvantage of more power consumption, more delay and occupying more chip area. In this paper, the VLSI design of a high performance and low power 32-bit unsigned proposed modified carry select adder (MCSLA) based multiplier using CBL term is been proposed and compared with the 32-bit unsigned conventional carry select adder (CSLA) based multiplier. The result analysis shows that the 45% power area delay product reduction is possible with the use of the proposed MCSLA based multiplier compared to conventional CSLA based multiplier. 8. REFERENCES [1] David Jeff Jackson and Sidney Joel Hannah,“Modelling and Comparison of Adder Designs with Verilog HDL”, 25th Southeastern Symposium on System Theory, pp.406-410, March 1993. [2] HasanKrad and AwsYousi, "Design and Implementation of a Fast Unsigned 32-bit Multiplier Using VHDL", 2010. [3] J. R. Armstrong and F.G. Gray, “VHDL Design Representation and Synthesis”, 2nd ed., Prentice Hall, USA, 2000.ISBN:0-13-021670-4. [4] M.N.V.anusha and K. Babulu, “Design and Analysis of Carry Select Adder with RCA and BEC Circuits”, IJSETR, Volume 3, Issue 11, November 2014. [5] P. Asadi and K. Navi, "A novel highs-speed 54- 54 bit multiplier", Am.J Applied Sci.,vol.4(9), pp.666-672. 2007. [6] P. C. H. Meier and R. A. Rutenbar, L. R. Carley.: "Exploring Multiplier Architecture and Layout for Low Power", CIC'96, 1996. [7] P. S. Mohanty, "Design and Implementation of Faster and Low Power Multipliers", Bachelor Thesis. National Institute of Technology,Rourkela, 2009. [8] SriRamya.P and SuhaliAfroz.MD, “VLSI Implementation of 32 Bit Unsigned Multiplier Using an Efficient CLAA and CSLA”, IPASJ International Journal of Electronics &Communication,Volume 2, Issue 7, July2014. [9] Sumit Dixit and Ghanshyam, “VLSI Implementation of Modified Design of 32 Bit Integer Unsigned Multiplier Using CSLA and CLAA”, International Journal of Research in Advent Technology, Vol.3, No.1, January 2015 E-ISSN: 2321-9637. [10]V.Vijayalakshmil,R.Seshadd and Dr.S.Ramakrishnan, “Design and Implementation of 32 Bit Unsigned Multiplier Using CLAA and CSLA”,978-1-4673-5301-4/13/$31.00 ©2013 IEEE.