SlideShare a Scribd company logo
1 of 4
Download to read offline
ISSN: 2278 – 1323
International Journal of Advanced Research in Computer Engineering & Technology (IJARCET)
Volume 2, Issue 6, June 2013
2139
www.ijarcet.org
Abstract—The ALU is one of the most frequently accessed
modules in a CPU and is utilized during most instruction
executions. Hence the power consumption of the ALU is a
major concern.In this paper a low power 16 bit ALU is
designed using VHDL. Lower power consumption is achieved
by using clock gating technique and the results are compared
with conventional ALU design. A carry skip adder with
variable block length is used for the arithmetic unit to achieve
better performance. The design is then implemented inXilinx
Spartan 3E FPGA . The ALU achieves a maximum frequency
of 65.19 MHzwith a dynamic power dissipation of 1.98mW
when operated at 15 MHz.
Index Terms— ALU, clock gating, CPU,CMOS,FPGA,
MOSFET, Spartan3E, VHDL
I. INTRODUCTION
HE OPTIMIZATION for lower power dissipation and
faster device performance is of prime concern. The ideal
design is one which consumes minimum power, requires
minimum area but has the highest throughput. However,
these parameters are often contradictory and a suitable
solution has to be formulated to maintain a tradeoff between
these parameters. Power optimization is possible at every
level of digital design flow, however, benefits are maximum
at the algorithmic and architectural design level.
Modern day microprocessors are designed to operate at
maximum speed but consume minimum power at the same
time. This is necessary to improve battery life of portable
systems, improve reliability and reduce heat removal costs.
The ALU, being one of the most computationally intensive
modules in a CPU almost always falls in the datapath during
the execution of an instruction. Hence the power
consumption of the ALU should be kept at a minimum.
In this paper a 16 bit ALU is designed in VHDL and
clock gating technique is used to lower power consumption.
A carry skip adder with variable block length is used as the
primary computational element of the arithmetic unit. The
design is then simulated in ISim simulator and finally
implemented in Xilinx Spartan 3E FPGA. The results are
compared with that of a conventional ALU which revealed
significant improved performance of the clock gated ALU
over the conventional design.
Ankit Mitra was with the department of Electronics and Communication
Engineering from B.P. Poddar Institute of Management and Technology
from2008-2012,Kolkata,India,700052.
II. SOURCES OF POWER DISSIPATION
A. Dynamic power dissipation
Dynamic power dissipation of CMOS circuit has two
parts- dynamic switching power and short-circuit current
power [4]. Dynamic switching power is dissipated every
time the logic state of the gate changes. It is represented as P
= nfCLVdd2
, where f is the frequency of switching,CLis the load
capacitance,Vdd is supply voltage and n is the probability of
switching. This power can be reduced by lowering switching
frequency;however it is not desirable as it limits the speed of
operation of the device. n can be reduced by reducing
redundant switching activity. Vdd can also be reduced,
however it leads to increased propagation delays and hence
not desirable. Hence a proper tradeoff must be met between
these parameters to obtain satisfactory device performance.
Short circuit current power is dissipated when both the
NMOS and CMOS MOSFETs are partially on, during a
switching activity. In this case a direct short circuit path is
momentarily formed between power supply and ground,
leading to significant power dissipation. This can be
controlled by regulating the slew rate and applying sharp
clock edges. However, generating such a clock is difficult.
B. Static power dissipation
Static or quiescent power dissipation is independent of the
switching activity of the circuit. This is caused due to
leakage current in the device during steady state. Sub-
threshold conduction is the reason for this power dissipation
and can be controlled by biasing the MOSFETs well below
their threshold voltages and using multiple threshold CMOS
designs.
III. CLOCK GATING
Clock power constitutes a significant portion of dynamic
power. In a synchronous circuit several modules are clocked
at the same time. However, at any particular instant only a
single module may be functional. Hence, unnecessary
clocking of the other modules lead to a lot of power
dissipation. Clock gating technique is a power down
methodology, which involves selectively clocking modules
as and when required while keeping other inactive modules
in sleep mode. Thus the power dissipation due to charging
Design and implementation of low power 16 bit
ALU with clock gating
AnkitMitra
T
ISSN: 2278 – 1323
International Journal of Advanced Research in Computer Engineering & Technology (IJARCET)
Volume 2, Issue 6, June 2013
2140
www.ijarcet.org
and discharging of the clock at unused gates, is avoided in
this strategy.
Clock gating is achieved by ANDingthe clock signal with
a control signal to form a gated clock, which is then applied
to different components of the circuit. To which module the
gated clock should be applied is decided based on the
control signal.
IV. DESIGNING THE 16 BIT ALU
In this paper the above clock gating technique is used to
achieve low power dissipation. The ALU is composed of a
clock gating circuit, an arithmetic unit, a logic unit and an
output multiplexer [1]. Data is loaded into the arithmetic and
logic units through input registers and the output is delivered
to an output registers. Loading and unloading of data in the
registers are controlled by gated clocks from the clock
gating circuit.
A. Arithmetic unit
The arithmetic unit is designed to perform four operations -
addition, subtraction, increment and decrement [2]. The core
of the arithmetic unit is a variable block length carry skip
adder. The maximum combinational path delay(pad to pad)
from carry input to carry output has been found to be 22.005
ns which is almost same as that of a ripple carry adder,
however, the power dissipation is a little lower than the
ripple carry adder [3]. The effect of using carry skip adder
with variable block length to minimize carry propagation
delay is more pronounced for higher number of bits.
Fig.1. Structure of variable block length carry skip adder
Each individual block is a ripple carry adder. The carry
generated in each block enters the ripple carry logic along
with the carry generated in previous block. A bitwise XOR
operation between the operands is done in the skip logic
block and the results are ANDed together to form the
propagation bit given by ((Ai XOR Bi).( Ai+1 XOR
Bi+1)…(Am XOR Bm)), where m is the block length. If
propagation bit is 1, it indicates no carry has been generated
in the block and the previous carry input is sent directly to
the next block. If the propagation bit is 0, a carry has been
generated or killed in the block and this is sent to the next
block. Variable block length gives better performance in
terms of delay with almost 40 percent faster operation than
fixed block design.
Fig.2. Architecture of the Arithmetic Unit
The 16 bit operand A is applied directly to the Carry skip
adder. The operand B goes through a multiplexer and is
selected based on select inputs S1 and S0. The nature of the
output is based on the signals S0, S1 and carry input. The
arithmetic unit can perform seven exclusive operations. The
entry of input operands A and B are controlled through
registers clocked by the gated clock from the clock gating
circuit. Hence, the arithmetic unit is brought into operation
only when required and remains inactive during other
operations of ALU.
TABLE I
OPERATIONS PERFORMED IN THE ARITHMETIC UNIT
BASED ON S1, S0 AND CARRY IN.
B. Logic Unit
The operands A and B enter the logic unit through
registers controlled by the gated clock, like the arithmetic
unit, giving it exclusivity of operation only when required
by the ALU.
The logic unit can perform four operations based on the
select inputs S0 and S1.
TABLE II
OPERATIONS PERFORMED IN LOGIC UNIT BASED ON S0 AND
S1 SELECT INPUTS
S1 S0 OPERATION
0 0 A AND B
0 1 A XOR B
1 0 A OR B
1 1 NOT B
S1 S0 Carry in OPERATION
0 0 0 A+B
0 0 1 A+B+1
0 1 0 A+(not B)
0 1 1 A-B
1 0 0 A
1 0 1 A+1
1 1 0 A-1
1 1 1 A
ISSN: 2278 – 1323
International Journal of Advanced Research in Computer Engineering & Technology (IJARCET)
Volume 2, Issue 6, June 2013
2141
www.ijarcet.org
C. Clock gating circuit
The clock gating circuit takes in the clock input and
generates a gated clock based on a control signal S2. The
gated clock signal is used to activate the arithmetic or logic
unit. Preventing unnecessary charging and discharging of
the clock signal in inactive modules leads to lower dynamic
power dissipation.
Fig.3.Clock gating circuit with clock and control signal input and gated
clock outputs
The master clock input is fed to the circuit . When control
signal input S2 is zero, clock is gated through first AND
gate to the Logic unit. When S2 is one, clock is gated
through second AND gate to Arithmetic unit. Thus, at a time
only one gated clock output is active.
TABLE III
SIGNAL S2 ACTIVATING DIFFERENT UNITS OF ALU
D. Output multiplexer and register
The computed outputs from the arithmetic and logic units
are fed into the output multiplexer. The proper output is
selected based on a control signal. This output is then sent to
the output register which is clocked by either of the two
gated clocks.
Fig. 4.Structure of output register and multiplexer
When S2 is zero, the multiplexer output is taken from the
logic unit and when S2 is one the output is taken from the
arithmetic unit. The selected output is delivered via the
output register clocked by either of the gated clocks.
V. SIMULATION AND IMPLEMENTATION
The above modules are designed in VHDL using Xilinx
ISE 13.4 design suite. The behavioral simulation is done
using ISim simulator with a clock period of 1 us. After this
the design was synthesized for the target device. Finally the
design was implemented through translate, map and place
and route stage.
A. Simulation waveforms
The simulations are performed with a 1 us clock period
using ISim Simulator.
Fig. 5. Simulated waveform for Logic Unit
Fig. 6. Simulated waveform for Arithmetic Unit
B. RTL Schematic
Fig.7.Register transfer Level schematic of the ALU
S2 ACTIVATION
0 Logic Unit
1 Arithmetic Unit
ISSN: 2278 – 1323
International Journal of Advanced Research in Computer Engineering & Technology (IJARCET)
Volume 2, Issue 6, June 2013
2142
www.ijarcet.org
C. Technology Schematic
The technology schematic exhibits the design based on
the logic elements of the target technology, in this case the
FPGA[6]. The circuit is represented using Lookup tables,
multiplexers and flipflops. The input and output pins are
driven through input/output buffers and the clock is driven
through a clock buffer.
Fig.8.Technology Schematic view of the ALU
D. Experimental Results
After synthesis, the design is implemented in the
spartan3E FPGA. The synthesized netlist is translated,
mapped in the device and finally routed. The maximum
frequency of operation is obtained from the post place and
route timing report [5].
TABLE IV
DEVICE STATISTICS FOR THE IMPLEMENTED ALU
Maximum Frequency 65.19 MHz
Total Number of 4 input LUT 107
Number of bonded IOBs 54
Number of occupied Slices 94
The power consumption of the ALU is analyzed using
XilinxXpowerAnalyser. A comparative analysis has been
done between the clock gated ALU and the conventional
ALU. The test is done at a supply voltage of 2.4 V and 15
MHz clock frequency. The output load is of 5 pF.
TABLE V
POWER CONSUMPTION OF ALU WITH AND WITHOUT CLOCK
GATING
DYNAMIC
POWER(mW)
QUIESCENT
POWER(mW)
ALU Without
Clock Gating
5.96 51
ALU With
Clock Gating
1.98 51
The dynamic power consisted of clock domain power,
Logic power, signal power and power consumed by I/O
buffers. It is observed that the dynamic power consumption
of clock gated ALU is considerably less than without clock
gating.
The quiescent power is the static power dissipated in the
FPGA when it is turned on. It is a function of supply
voltage, junction temperature and device family. Power
gating can be used to reduce leakage current which causes
static power dissipation in the device.
VI. CONCLUSION
Power consumption in modern devices are a growing
concern as demands for increased battery life, lower heat
dissipation and increased device reliability is on the rise. In
this paper the power optimization at architectural level is
demonstrated by the design of a 16 bit ALU using clock
gating. It is observed that clock gating reduces dynamic
power dissipation of the ALU by approximately 66.7
percent. The arithmetic unit of the ALU is based on a carry
skip adder to reduce carry propagation delays and its
performance increases for higher number of bits. The ALU
is capable of performing eleven operations and can be
readily placed in the datapath of a 16 bit microprocessor.
REFERENCES
[1] M. Morris Mano, “Register transfer and Microoperations,” in
Computer System Architecture, 3rd
ed. Pearson, India, pp. 106-118.
[2] S. Salivahanan and S. Arvazhagan, “Digital Circuits and Design”, 3rd
ed. Vikas publishing, India, pp. 168-169.
[3] R.Uma and P.Dhavachelvan (2012, August). Logic optimization using
technology independent MUX based adders in FPGA.International
Journal of VLSI design & Communication Systems.[online].3 (4),
pp. 135-147.
Available: http://airccse.org/journal/vlsi/papers/3412vlsics12.pdf
[4] KanikaKaur and Arti Noor (2011,May). Strategies and Methodologies
for low power VLSI designs: A review. International Journal of
Advances in Engineering & Technology.[online]. ISSN: 2231-1963.
pp. 159-164. Available: http://www.ijaet.org/media/18STRATEGIES-
METHODOLOGIES-FOR-LOW-POWER-VLSI-DESIGNS-A-
REVIEW-Copyright-IJAET.pdf.
[5] Rajeev Kumar (2012, June).Design & Implementation of 64 bit ALU
for Instruction Set Architecture & Comparison between Speed/Power
Consumption on FPGA.International Journal of Advanced Research
in Computer Engineering & Technology.[online]. 1(4), pp.186-192.
Available: http://ijarcet.org/index.php/ijarcet/article/view/132/PDF.
[6] Xilinx XST user guide, UG627 (v 12.4), 2010.
AnkitMitra completed his Bachelors of technology(B.Tech)
in Electronics and Communication
Engineering from B.P. Poddar Institute
of Management and technology in
2012. He was an active member of the
Society of Optical Engineering (SPIE)
student’s chapter. He has consistently
been among the departmental toppers
throughout his undergraduate. He has
qualified Graduate Aptitude in
Engineering (GATE) in 2013.Currently he is doing
independent research work in the field of Digital VLSI.

More Related Content

What's hot

BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERBIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERShanmukha S. Potti
 
Fuzzy Controller for Speed Control of BLDC motor using MATLAB
Fuzzy Controller for Speed Control of BLDC motor using MATLABFuzzy Controller for Speed Control of BLDC motor using MATLAB
Fuzzy Controller for Speed Control of BLDC motor using MATLABIRJET Journal
 
BLDC Motor Speed Control With RPM Display
 BLDC Motor Speed Control With RPM Display BLDC Motor Speed Control With RPM Display
BLDC Motor Speed Control With RPM DisplayEdgefxkits & Solutions
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level TechniquesGargiKhanna1
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsiGargiKhanna1
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY PIC16F877A MICROCONTROLLER
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY  PIC16F877A MICROCONTROLLERDC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY  PIC16F877A MICROCONTROLLER
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY PIC16F877A MICROCONTROLLERTridib Bose
 
Automatic car parking
Automatic car parkingAutomatic car parking
Automatic car parkingAran Glenn
 
Motion Controller for Any Application | ElmoMC
Motion Controller for Any Application | ElmoMC Motion Controller for Any Application | ElmoMC
Motion Controller for Any Application | ElmoMC Elmo Motion Control
 
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLER
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLERFOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLER
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLERJournal For Research
 
Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Ashwin Shroff
 
Pwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoPwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoKATHANSANJAYSHAH
 
To control the dc motor speed using PWM from LabVIEW
To control the dc motor speed using PWM from LabVIEWTo control the dc motor speed using PWM from LabVIEW
To control the dc motor speed using PWM from LabVIEWAnkita Tiwari
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller systemVinny Chweety
 
OPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT TECHNOLOGIES
 
Solution for Industrial Printing & Textile Machines | Elmo Motion Control
Solution for Industrial Printing & Textile Machines | Elmo Motion ControlSolution for Industrial Printing & Textile Machines | Elmo Motion Control
Solution for Industrial Printing & Textile Machines | Elmo Motion ControlElmo Motion Control
 

What's hot (20)

BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERBIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
 
Fuzzy Controller for Speed Control of BLDC motor using MATLAB
Fuzzy Controller for Speed Control of BLDC motor using MATLABFuzzy Controller for Speed Control of BLDC motor using MATLAB
Fuzzy Controller for Speed Control of BLDC motor using MATLAB
 
BLDC Motor Speed Control With RPM Display
 BLDC Motor Speed Control With RPM Display BLDC Motor Speed Control With RPM Display
BLDC Motor Speed Control With RPM Display
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level Techniques
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsi
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY PIC16F877A MICROCONTROLLER
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY  PIC16F877A MICROCONTROLLERDC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY  PIC16F877A MICROCONTROLLER
DC MOTOR SPEED CONTROL USING ON-OFF CONTROLLER BY PIC16F877A MICROCONTROLLER
 
Automatic car parking
Automatic car parkingAutomatic car parking
Automatic car parking
 
Downlog.asp
Downlog.aspDownlog.asp
Downlog.asp
 
Module 4
Module 4Module 4
Module 4
 
Motion Controller for Any Application | ElmoMC
Motion Controller for Any Application | ElmoMC Motion Controller for Any Application | ElmoMC
Motion Controller for Any Application | ElmoMC
 
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLER
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLERFOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLER
FOUR QUADRANT SPEED CONTROL OF DC MOTOR USING AT89S52 MICROCONTROLLER
 
Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)Gate Diffusion Input Technology (Very Large Scale Integration)
Gate Diffusion Input Technology (Very Large Scale Integration)
 
Drone
DroneDrone
Drone
 
Pwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoPwm technique for dc motor Using Arduino
Pwm technique for dc motor Using Arduino
 
1913523 vanshika
1913523 vanshika1913523 vanshika
1913523 vanshika
 
To control the dc motor speed using PWM from LabVIEW
To control the dc motor speed using PWM from LabVIEWTo control the dc motor speed using PWM from LabVIEW
To control the dc motor speed using PWM from LabVIEW
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller system
 
OPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL SolutionsOPAL-RT Webinar - MMC RCP HIL Solutions
OPAL-RT Webinar - MMC RCP HIL Solutions
 
Solution for Industrial Printing & Textile Machines | Elmo Motion Control
Solution for Industrial Printing & Textile Machines | Elmo Motion ControlSolution for Industrial Printing & Textile Machines | Elmo Motion Control
Solution for Industrial Printing & Textile Machines | Elmo Motion Control
 

Viewers also liked

Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Editor IJARCET
 
Ijarcet vol-2-issue-2-342-346
Ijarcet vol-2-issue-2-342-346Ijarcet vol-2-issue-2-342-346
Ijarcet vol-2-issue-2-342-346Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Editor IJARCET
 
Sacos Plasticos
Sacos PlasticosSacos Plasticos
Sacos Plasticoscamfh
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Editor IJARCET
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Ijarcet vol-2-issue-3-1078-1080
Ijarcet vol-2-issue-3-1078-1080Ijarcet vol-2-issue-3-1078-1080
Ijarcet vol-2-issue-3-1078-1080Editor IJARCET
 
Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Editor IJARCET
 
¿Qué hacer cuando cambias de casa?
¿Qué hacer cuando cambias de casa?¿Qué hacer cuando cambias de casa?
¿Qué hacer cuando cambias de casa?LimpiezasExpress
 
Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Editor IJARCET
 
Ijarcet vol-2-issue-7-2319-2322
Ijarcet vol-2-issue-7-2319-2322Ijarcet vol-2-issue-7-2319-2322
Ijarcet vol-2-issue-7-2319-2322Editor IJARCET
 

Viewers also liked (19)

Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067
 
1762 1765
1762 17651762 1765
1762 1765
 
Ijarcet vol-2-issue-2-342-346
Ijarcet vol-2-issue-2-342-346Ijarcet vol-2-issue-2-342-346
Ijarcet vol-2-issue-2-342-346
 
1873 1878
1873 18781873 1878
1873 1878
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Sacos Plasticos
Sacos PlasticosSacos Plasticos
Sacos Plasticos
 
2
22
2
 
Filezilla Tutorial
Filezilla TutorialFilezilla Tutorial
Filezilla Tutorial
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
1866 1872
1866 18721866 1872
1866 1872
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Ijarcet vol-2-issue-3-1078-1080
Ijarcet vol-2-issue-3-1078-1080Ijarcet vol-2-issue-3-1078-1080
Ijarcet vol-2-issue-3-1078-1080
 
Archivo gbi angie
Archivo gbi angieArchivo gbi angie
Archivo gbi angie
 
Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377Ijarcet vol-2-issue-7-2374-2377
Ijarcet vol-2-issue-7-2374-2377
 
¿Qué hacer cuando cambias de casa?
¿Qué hacer cuando cambias de casa?¿Qué hacer cuando cambias de casa?
¿Qué hacer cuando cambias de casa?
 
Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067Volume 2-issue-6-2064-2067
Volume 2-issue-6-2064-2067
 
Ijarcet vol-2-issue-7-2319-2322
Ijarcet vol-2-issue-7-2319-2322Ijarcet vol-2-issue-7-2319-2322
Ijarcet vol-2-issue-7-2319-2322
 

Similar to Low Power 16-Bit ALU Design with Clock Gating

DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingIJERA Editor
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKSantanu Chatterjee
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
A high speed dynamic ripple carry adder
A high speed dynamic ripple carry adderA high speed dynamic ripple carry adder
A high speed dynamic ripple carry addereSAT Journals
 
4 Way traffic controll new
4 Way traffic controll new4 Way traffic controll new
4 Way traffic controll newMainak Nandi
 
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...IJERA Editor
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
Design and Analysis of Sequential Elements for Low Power Clocking System with...
Design and Analysis of Sequential Elements for Low Power Clocking System with...Design and Analysis of Sequential Elements for Low Power Clocking System with...
Design and Analysis of Sequential Elements for Low Power Clocking System with...IJERA Editor
 
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...IOSRJVSP
 
Arm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorArm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorUday Wankar
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
 

Similar to Low Power 16-Bit ALU Design with Clock Gating (20)

DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGating
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
A high speed dynamic ripple carry adder
A high speed dynamic ripple carry adderA high speed dynamic ripple carry adder
A high speed dynamic ripple carry adder
 
4 Way traffic controll new
4 Way traffic controll new4 Way traffic controll new
4 Way traffic controll new
 
G04515260
G04515260G04515260
G04515260
 
F233644
F233644F233644
F233644
 
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
Design and Analysis of Sequential Elements for Low Power Clocking System with...
Design and Analysis of Sequential Elements for Low Power Clocking System with...Design and Analysis of Sequential Elements for Low Power Clocking System with...
Design and Analysis of Sequential Elements for Low Power Clocking System with...
 
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
Design And Implementation Of Arithmetic Logic Unit Using Modified Quasi Stati...
 
Arm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorArm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC Motor
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 
Low Power Area Efficient Parallel Counter Architecture
Low Power Area Efficient Parallel Counter ArchitectureLow Power Area Efficient Parallel Counter Architecture
Low Power Area Efficient Parallel Counter Architecture
 

More from Editor IJARCET

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationEditor IJARCET
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Editor IJARCET
 
Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Editor IJARCET
 
Volume 2-issue-6-2095-2097
Volume 2-issue-6-2095-2097Volume 2-issue-6-2095-2097
Volume 2-issue-6-2095-2097Editor IJARCET
 
Volume 2-issue-6-2091-2094
Volume 2-issue-6-2091-2094Volume 2-issue-6-2091-2094
Volume 2-issue-6-2091-2094Editor IJARCET
 

More from Editor IJARCET (20)

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 
Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101Volume 2-issue-6-2098-2101
Volume 2-issue-6-2098-2101
 
Volume 2-issue-6-2095-2097
Volume 2-issue-6-2095-2097Volume 2-issue-6-2095-2097
Volume 2-issue-6-2095-2097
 
Volume 2-issue-6-2091-2094
Volume 2-issue-6-2091-2094Volume 2-issue-6-2091-2094
Volume 2-issue-6-2091-2094
 

Recently uploaded

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .Alan Dix
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 

Recently uploaded (20)

DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 

Low Power 16-Bit ALU Design with Clock Gating

  • 1. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 2, Issue 6, June 2013 2139 www.ijarcet.org Abstract—The ALU is one of the most frequently accessed modules in a CPU and is utilized during most instruction executions. Hence the power consumption of the ALU is a major concern.In this paper a low power 16 bit ALU is designed using VHDL. Lower power consumption is achieved by using clock gating technique and the results are compared with conventional ALU design. A carry skip adder with variable block length is used for the arithmetic unit to achieve better performance. The design is then implemented inXilinx Spartan 3E FPGA . The ALU achieves a maximum frequency of 65.19 MHzwith a dynamic power dissipation of 1.98mW when operated at 15 MHz. Index Terms— ALU, clock gating, CPU,CMOS,FPGA, MOSFET, Spartan3E, VHDL I. INTRODUCTION HE OPTIMIZATION for lower power dissipation and faster device performance is of prime concern. The ideal design is one which consumes minimum power, requires minimum area but has the highest throughput. However, these parameters are often contradictory and a suitable solution has to be formulated to maintain a tradeoff between these parameters. Power optimization is possible at every level of digital design flow, however, benefits are maximum at the algorithmic and architectural design level. Modern day microprocessors are designed to operate at maximum speed but consume minimum power at the same time. This is necessary to improve battery life of portable systems, improve reliability and reduce heat removal costs. The ALU, being one of the most computationally intensive modules in a CPU almost always falls in the datapath during the execution of an instruction. Hence the power consumption of the ALU should be kept at a minimum. In this paper a 16 bit ALU is designed in VHDL and clock gating technique is used to lower power consumption. A carry skip adder with variable block length is used as the primary computational element of the arithmetic unit. The design is then simulated in ISim simulator and finally implemented in Xilinx Spartan 3E FPGA. The results are compared with that of a conventional ALU which revealed significant improved performance of the clock gated ALU over the conventional design. Ankit Mitra was with the department of Electronics and Communication Engineering from B.P. Poddar Institute of Management and Technology from2008-2012,Kolkata,India,700052. II. SOURCES OF POWER DISSIPATION A. Dynamic power dissipation Dynamic power dissipation of CMOS circuit has two parts- dynamic switching power and short-circuit current power [4]. Dynamic switching power is dissipated every time the logic state of the gate changes. It is represented as P = nfCLVdd2 , where f is the frequency of switching,CLis the load capacitance,Vdd is supply voltage and n is the probability of switching. This power can be reduced by lowering switching frequency;however it is not desirable as it limits the speed of operation of the device. n can be reduced by reducing redundant switching activity. Vdd can also be reduced, however it leads to increased propagation delays and hence not desirable. Hence a proper tradeoff must be met between these parameters to obtain satisfactory device performance. Short circuit current power is dissipated when both the NMOS and CMOS MOSFETs are partially on, during a switching activity. In this case a direct short circuit path is momentarily formed between power supply and ground, leading to significant power dissipation. This can be controlled by regulating the slew rate and applying sharp clock edges. However, generating such a clock is difficult. B. Static power dissipation Static or quiescent power dissipation is independent of the switching activity of the circuit. This is caused due to leakage current in the device during steady state. Sub- threshold conduction is the reason for this power dissipation and can be controlled by biasing the MOSFETs well below their threshold voltages and using multiple threshold CMOS designs. III. CLOCK GATING Clock power constitutes a significant portion of dynamic power. In a synchronous circuit several modules are clocked at the same time. However, at any particular instant only a single module may be functional. Hence, unnecessary clocking of the other modules lead to a lot of power dissipation. Clock gating technique is a power down methodology, which involves selectively clocking modules as and when required while keeping other inactive modules in sleep mode. Thus the power dissipation due to charging Design and implementation of low power 16 bit ALU with clock gating AnkitMitra T
  • 2. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 2, Issue 6, June 2013 2140 www.ijarcet.org and discharging of the clock at unused gates, is avoided in this strategy. Clock gating is achieved by ANDingthe clock signal with a control signal to form a gated clock, which is then applied to different components of the circuit. To which module the gated clock should be applied is decided based on the control signal. IV. DESIGNING THE 16 BIT ALU In this paper the above clock gating technique is used to achieve low power dissipation. The ALU is composed of a clock gating circuit, an arithmetic unit, a logic unit and an output multiplexer [1]. Data is loaded into the arithmetic and logic units through input registers and the output is delivered to an output registers. Loading and unloading of data in the registers are controlled by gated clocks from the clock gating circuit. A. Arithmetic unit The arithmetic unit is designed to perform four operations - addition, subtraction, increment and decrement [2]. The core of the arithmetic unit is a variable block length carry skip adder. The maximum combinational path delay(pad to pad) from carry input to carry output has been found to be 22.005 ns which is almost same as that of a ripple carry adder, however, the power dissipation is a little lower than the ripple carry adder [3]. The effect of using carry skip adder with variable block length to minimize carry propagation delay is more pronounced for higher number of bits. Fig.1. Structure of variable block length carry skip adder Each individual block is a ripple carry adder. The carry generated in each block enters the ripple carry logic along with the carry generated in previous block. A bitwise XOR operation between the operands is done in the skip logic block and the results are ANDed together to form the propagation bit given by ((Ai XOR Bi).( Ai+1 XOR Bi+1)…(Am XOR Bm)), where m is the block length. If propagation bit is 1, it indicates no carry has been generated in the block and the previous carry input is sent directly to the next block. If the propagation bit is 0, a carry has been generated or killed in the block and this is sent to the next block. Variable block length gives better performance in terms of delay with almost 40 percent faster operation than fixed block design. Fig.2. Architecture of the Arithmetic Unit The 16 bit operand A is applied directly to the Carry skip adder. The operand B goes through a multiplexer and is selected based on select inputs S1 and S0. The nature of the output is based on the signals S0, S1 and carry input. The arithmetic unit can perform seven exclusive operations. The entry of input operands A and B are controlled through registers clocked by the gated clock from the clock gating circuit. Hence, the arithmetic unit is brought into operation only when required and remains inactive during other operations of ALU. TABLE I OPERATIONS PERFORMED IN THE ARITHMETIC UNIT BASED ON S1, S0 AND CARRY IN. B. Logic Unit The operands A and B enter the logic unit through registers controlled by the gated clock, like the arithmetic unit, giving it exclusivity of operation only when required by the ALU. The logic unit can perform four operations based on the select inputs S0 and S1. TABLE II OPERATIONS PERFORMED IN LOGIC UNIT BASED ON S0 AND S1 SELECT INPUTS S1 S0 OPERATION 0 0 A AND B 0 1 A XOR B 1 0 A OR B 1 1 NOT B S1 S0 Carry in OPERATION 0 0 0 A+B 0 0 1 A+B+1 0 1 0 A+(not B) 0 1 1 A-B 1 0 0 A 1 0 1 A+1 1 1 0 A-1 1 1 1 A
  • 3. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 2, Issue 6, June 2013 2141 www.ijarcet.org C. Clock gating circuit The clock gating circuit takes in the clock input and generates a gated clock based on a control signal S2. The gated clock signal is used to activate the arithmetic or logic unit. Preventing unnecessary charging and discharging of the clock signal in inactive modules leads to lower dynamic power dissipation. Fig.3.Clock gating circuit with clock and control signal input and gated clock outputs The master clock input is fed to the circuit . When control signal input S2 is zero, clock is gated through first AND gate to the Logic unit. When S2 is one, clock is gated through second AND gate to Arithmetic unit. Thus, at a time only one gated clock output is active. TABLE III SIGNAL S2 ACTIVATING DIFFERENT UNITS OF ALU D. Output multiplexer and register The computed outputs from the arithmetic and logic units are fed into the output multiplexer. The proper output is selected based on a control signal. This output is then sent to the output register which is clocked by either of the two gated clocks. Fig. 4.Structure of output register and multiplexer When S2 is zero, the multiplexer output is taken from the logic unit and when S2 is one the output is taken from the arithmetic unit. The selected output is delivered via the output register clocked by either of the gated clocks. V. SIMULATION AND IMPLEMENTATION The above modules are designed in VHDL using Xilinx ISE 13.4 design suite. The behavioral simulation is done using ISim simulator with a clock period of 1 us. After this the design was synthesized for the target device. Finally the design was implemented through translate, map and place and route stage. A. Simulation waveforms The simulations are performed with a 1 us clock period using ISim Simulator. Fig. 5. Simulated waveform for Logic Unit Fig. 6. Simulated waveform for Arithmetic Unit B. RTL Schematic Fig.7.Register transfer Level schematic of the ALU S2 ACTIVATION 0 Logic Unit 1 Arithmetic Unit
  • 4. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 2, Issue 6, June 2013 2142 www.ijarcet.org C. Technology Schematic The technology schematic exhibits the design based on the logic elements of the target technology, in this case the FPGA[6]. The circuit is represented using Lookup tables, multiplexers and flipflops. The input and output pins are driven through input/output buffers and the clock is driven through a clock buffer. Fig.8.Technology Schematic view of the ALU D. Experimental Results After synthesis, the design is implemented in the spartan3E FPGA. The synthesized netlist is translated, mapped in the device and finally routed. The maximum frequency of operation is obtained from the post place and route timing report [5]. TABLE IV DEVICE STATISTICS FOR THE IMPLEMENTED ALU Maximum Frequency 65.19 MHz Total Number of 4 input LUT 107 Number of bonded IOBs 54 Number of occupied Slices 94 The power consumption of the ALU is analyzed using XilinxXpowerAnalyser. A comparative analysis has been done between the clock gated ALU and the conventional ALU. The test is done at a supply voltage of 2.4 V and 15 MHz clock frequency. The output load is of 5 pF. TABLE V POWER CONSUMPTION OF ALU WITH AND WITHOUT CLOCK GATING DYNAMIC POWER(mW) QUIESCENT POWER(mW) ALU Without Clock Gating 5.96 51 ALU With Clock Gating 1.98 51 The dynamic power consisted of clock domain power, Logic power, signal power and power consumed by I/O buffers. It is observed that the dynamic power consumption of clock gated ALU is considerably less than without clock gating. The quiescent power is the static power dissipated in the FPGA when it is turned on. It is a function of supply voltage, junction temperature and device family. Power gating can be used to reduce leakage current which causes static power dissipation in the device. VI. CONCLUSION Power consumption in modern devices are a growing concern as demands for increased battery life, lower heat dissipation and increased device reliability is on the rise. In this paper the power optimization at architectural level is demonstrated by the design of a 16 bit ALU using clock gating. It is observed that clock gating reduces dynamic power dissipation of the ALU by approximately 66.7 percent. The arithmetic unit of the ALU is based on a carry skip adder to reduce carry propagation delays and its performance increases for higher number of bits. The ALU is capable of performing eleven operations and can be readily placed in the datapath of a 16 bit microprocessor. REFERENCES [1] M. Morris Mano, “Register transfer and Microoperations,” in Computer System Architecture, 3rd ed. Pearson, India, pp. 106-118. [2] S. Salivahanan and S. Arvazhagan, “Digital Circuits and Design”, 3rd ed. Vikas publishing, India, pp. 168-169. [3] R.Uma and P.Dhavachelvan (2012, August). Logic optimization using technology independent MUX based adders in FPGA.International Journal of VLSI design & Communication Systems.[online].3 (4), pp. 135-147. Available: http://airccse.org/journal/vlsi/papers/3412vlsics12.pdf [4] KanikaKaur and Arti Noor (2011,May). Strategies and Methodologies for low power VLSI designs: A review. International Journal of Advances in Engineering & Technology.[online]. ISSN: 2231-1963. pp. 159-164. Available: http://www.ijaet.org/media/18STRATEGIES- METHODOLOGIES-FOR-LOW-POWER-VLSI-DESIGNS-A- REVIEW-Copyright-IJAET.pdf. [5] Rajeev Kumar (2012, June).Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA.International Journal of Advanced Research in Computer Engineering & Technology.[online]. 1(4), pp.186-192. Available: http://ijarcet.org/index.php/ijarcet/article/view/132/PDF. [6] Xilinx XST user guide, UG627 (v 12.4), 2010. AnkitMitra completed his Bachelors of technology(B.Tech) in Electronics and Communication Engineering from B.P. Poddar Institute of Management and technology in 2012. He was an active member of the Society of Optical Engineering (SPIE) student’s chapter. He has consistently been among the departmental toppers throughout his undergraduate. He has qualified Graduate Aptitude in Engineering (GATE) in 2013.Currently he is doing independent research work in the field of Digital VLSI.