SlideShare a Scribd company logo
1 of 6
Download to read offline
Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317 – 322
                                                                                                                          www.nanomedjournal.com
                                                                   Experimental
      Formation of high-resistance supported lipid bilayer on the surface
                 of a silicon substrate with microelectrodes
                            Tsuneo Urisu, PhD,4 Md. Mashiur Rahman, Hidetaka Uno,
                                    Ryugo Tero, PhD, Yoichi Nonogaki, PhD
                Department of Vacuum UV Photoscience, Institute for Molecular Science, The Graduate University for Advanced Studies,
                                                             Myodaiji, Okazaki, Japan
                                             Received 28 August 2005; accepted 10 October 2005



Abstract             We have developed two basic technologies for fabrication of supported planar lipid bilayer
                     membrane ion channel biosensors: a defect-free lipid bilayer formation on the substrate surface with
                     electrode pores and a patterning technique for the hydrophobic self-assembled-monolayer to form
                     the guard ring that reduces the lipid bilayer edge-leak current. The importance of the supported-
                     membrane structure to achieve low noise and high-speed performance is suggested on the basis of
                     the observed relation between the single-ion-channel current noise and the pore size.
                     D 2005 Published by Elsevier Inc.
Key words:           Supported membrane; Lipid bilayer; Membrane protein; Gramicidin; Self-assembled monolayer; Ion channel;
                     Biosensor




   Signal transmission and processing in the living body                     many applications [1]. The ion channel and/or receptor-
takes place via life body molecules, specifically neuronal                   reconstructed lipid bilayer as a key component of the
transmitter molecules, as the signal carrier. It is a unique                 detector of neuronal transmitter molecules is useful not
communication system comparable to electrical and optical                    only as a biosensor but also in an in vitro study of cell
communication, which use electrons and photons, respec-                      membrane biological functions. In reports of single-ion-
tively, as the signal carriers. Thus it can be called                        channel biosensors, membrane protein reconstructed lipid
bmolecular communication.Q Neurotransmitter molecules                        bilayers are suspended in a small pore on the substrate
discharged from the presynaptic membrane are received by                     made by Si, SiO2, glass, or other materials [2-13].
ion channels on the surface of postsynaptic membranes,                       However, technological problems still exist with stability
and the electrical signal (ie, the depolarization of the                     of the single-ion-channel biosensor. Development of the
membrane) is generated by the channel current flowing                        supported-membrane sensor is considered to be one way to
though ion channel pores. The development of molecular                       solve these problems. However, single-ion-channel record-
communication devices such as a detector and a transmitter                   ing has not yet been successful in supported-membrane
of neurotransmitter molecules has the potential to facilitate                devices. The challenges are believed to consist in the
important medical applications such as diagnostics, treat-                   fabrication of a defect-free supported planar lipid bilayer
ment of diseases, and screening in drug development.                         (SPLB) on the substrate surface and the reduction in edge-
Combination with the Si LSI technology allows a                              leak current through the thin water layer under the lipid
significant scale-down to nanosized devices suitable for                     bilayer [14].
insertion into the body, or efficient integrations useful in                    Here we have developed two basic process technolo-
                                                                             gies necessary to fabricate the ion channel supported-
                                                                             membrane biosensors: formation of a defect-free lipid
                                                                             bilayer on the Si surface with microelectrode pores, and
   No conflict of interest was reported by the authors of this paper.
   4 Corresponding author. Institute for Molecular Science, Myodaiji,        the deposition and patterning of the hydrophobic self-
Okazaki, 444-8585 Japan.                                                     assembled monolayer (SAM) as a guard ring to reduce the
   E-mail address: urisu@ims.ac.jp (T. Urisu).                               edge-leak current.
1549-9634/$ – see front matter D 2005 Published by Elsevier Inc.
doi:10.1016/j.nano.2005.10.002
318                        T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322

Materials
    Palmitoyl-2-oleoyl-sn-3-[phosphor-l-serin] (POPS), 1,2-
diphytanoyl-sn-glycero-3-phosphocholine (DfPC), and
fluorescence-labeled lipid diacyl phosphoethanolamine-N-
lissamine rhodamine B sulfonyl (Rb) were purchased from
Avanti Polar Lipids Co. (Alabaster, AL) Dipalmitoylphos-
phatidylcholine (DPPC) was provided by Nippon Fine
Chemical Co. (Osaka, Japan) HF, H2O2, H2SO4, HCl, and
HNO3 solutions, as well as CaCl2 and KCl, were
analytical grade and purchased from Sigma-Aldrich
(St. Louis, MO). Octadecyltrichlorosilane (OTS) and
toluene were also purchased from Sigma-Aldrich. All of
the chemicals and solvents were used without further
purification. The purities of Co and SiO2 sputter targets
and Ag wires (0.5 mm diameter) were 99.99%. Spin-on
glass (SOG) was purchased from Rasa Industries Co.
(Tokyo, Japan) Si(100) wafers (p type, B doped, 0.018 V
cm, and 525 Am in thickness) were purchased from
Miyoshi Co. Water (Kanagawa, Japan) with a typical
resistivity of greater than 18 MV cm was produced using a
Milli-Q purification system (Millipore Co., Billerica, MA)

Formation of defect-free SPLB
   Formation of the SPLB with sufficiently high resistivity
(greater than gigaohms) is the necessary condition for
single-ion-channel recordings. To make a defect-free                     Fig 1. Schematics of the fabrication process of the supported-membrane
SPLB, It is crucial that the surface roughness be                        substrate with AgCl/Ag microelectrodes and the SPLB.
minimized. In this work we have successfully made the
pore with about 1 Am diameter for the microelectrode,
keeping the Si substrate surface extremely flat (Ra b 1                  (0.05 torr) and O2 (0.002 torr) as the etching gas. The SR
nm), by using femtosecond laser ablation patterning and                  etching provides a vertical side wall and completely stops at
synchrotron radiation (SR) etching.                                      the CoSi 2 surface [15]. The Co contact mask was
                                                                         successfully removed without damaging the substrate by
                                                                         immersion into 0.1 M HNO3 aqueous. Ag (50 nm thickness)
Methods and results
                                                                         was deposited on CoSi2 electrode surfaces by electroplating.
   Fig. 1 shows the fabrication process of the well structures           Then, AgCl/Ag was also formed by electroplating.
with microelectrodes. Co (10 nm thick) and Ag (100 nm                        Unilamellar giant vesicles [16] of DPPC/POPS/Rb (ratio
thick) thin films were sputter-deposited on the mirror-                  89.5:10:0.5) were prepared as follows. A chloroform
polished and reverse-side rough Si(100) surfaces after                   solution of a lipid mixture (10 mg/mL) was dried under
conventional wet cleaning. After that, a SiO2 thin film                  N2 flow using a rotary evaporator for about 30 minutes and
consisting of SOG (400 nm thickness) and sputtered SiO2                  subsequently vacuum-dried for 10 hours to completely
(200 nm thickness) were deposited and the sample was                     remove the solvent; a buffer solution (10 mM KCl) was
annealed at 5408C for 10 minutes. By this process the Co                 added to the lipid thin film obtained and gently agitated. The
layer was changed to CoSi2 and the Co/Si interface became                lipid concentration of the suspension obtained was 0.1 mg/
ohmic. The sample was then annealed by SR irradiation to                 mL. All the processes were carried out at room temperature
remove gas from the SOG [15]. A Co layer as an etching                   (RT). After incubation at 488C for 10 hours, dialysis was
contact mask was deposited on the SOG surface by                         then carried out for the suspension of giant vesicles using a
sputtering, and electrode hole mask patterns were made                   5-Am filter for 1 hour in the buffer solution (10 mM KCl,
using a femtosecond laser (E = 1560 nm, average power =                  pH 6.6) at RT. For the deposition of lipid bilayer
250 mW, frequency = 258 kHz, pulse width = 900 femto-                    membranes, the substrate was incubated for 1 hour at
seconds, and irradiation time = 4 milliseconds). The                     508C under a buffer solution formed by mixing 200 AL of
diameter of an electrode hole was about 1 Am. SR etching                 the vesicle suspension and 50 AL of a 50 mM solution of
of the SiO2 layer for making the well on the electrode was               CaCl2. Then the sample was washed five times at RT with
carried out at beam line 4A2 of the SR facility (UVSOR) at               the buffer solution. Atomic force microscopy (AFM)
the Institute for Molecular Science, using a mixture of SF6              observations were carried out using a SPI3800 scanning
T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322                                319




  Fig 2. A, The surface morphology measured by AFM around the electrode holes of the substrate after AgCl electroplating. B, Cross-sectional profile.


probe microscopy system (Seiko Instrument Inc.) in the
dynamic force mode (tapping mode) using a Si cantilever.
The spring constant of the cantilever for measuring the
surface roughness of the substrate in air was 43 N/m, and
1.5 N/m for the in situ characterization of the lipid bilayer.
    Fig. 2, A shows the AFM topography of the substrate
surface around the electrode wells made by the process
shown in Fig. 1. The cross-sectional profile in line with X-Y
is also shown in Fig. 2, B. These data show that the surface
around the electrode well is very flat (Ra ~0.8 nm). To
obtain such a flat surface, it was important to control the
irradiation power of the femtosecond laser such that only the
Co film was removed while causing negligible damage to
the SiO2 layer beneath. If the SiO2 layer was also sputtered
by the laser, particles (composition unknown) of 100 to
200 nm diameter, which were difficult to remove using the
usual etching solutions such as HF, HCl, H2SO4, and HNO3,                      Fig 3. I-V characteristics of the substrate measured in 10 mM KCl solution
were deposited around the wells. The electric characteristics                  (A) before and (B) after SPLB formation, and (C) the equivalent circuit of
were determined using a patch clamp amplifier (CEZ-2400,                       the system.
Nihon-Koden, Tokyo, Japan) through the AgCl/Ag elec-
trode in conjunction with the eCell (Version 2.12) software.                   lipid thin film. Because the bilayer was formed using the
Line a in Fig. 3 shows the current-voltage (I-V) character-                    same protocol as that used in the formation of the single
istics of the substrate under the buffer solution before                       bilayer patches shown in Fig. 4, A, it is considered from the
vesicle fusion. From these data, the series resistance Rs in                   fluorescence microscopy image that the single bilayer was
the equivalent circuit shown in Fig. 3, C is given to be 10 F                  formed on the microelectrode area. From the I-V character-
3 MV. Mixing of negatively charged lipid POPS with                             istics of the system measured after the lipid bilayer
neutral lipid DPPC was essentially effective in forming                        formation (shown as line b in Fig. 3), the resistance of the
unilamellar giant vesicles without aggregation. Addition of                    lipid bilayer (Rm in Fig. 3, C) was estimated to be 1.2 GV.
Ca2+ was also necessary to induce the rupture of vesicles on                   The capacitance (Cm in Fig. 3, C) of the system measured
the SiO2 surface [17]. Fig. 4, A shows a fluorescence                          using a patch clamp amplifier was 10.7 pF. These values
microscopy image of a single SPLB formed on the                                were observed with extremely good reproducibility during
SiO2/Si(100) surface by the rupture of the giant vesicles.                     our experiments for more than 5 hours. Because the
The diameter of the bilayer was typically about 200 to                         resistance almost completely returned to the original value
300 Am, large enough to cover the electrode area (10 to 30 Am                  of 10 MV when the bilayer was broken by adding 5 AL of
diameter). The thickness of the bilayer, 4.5 nm, observed                      gramicidin solution (1 mg/mL), the high resistance observed
by AFM corresponds to the height of the single bilayer [18].                   subsequent to formation of the bilayer was considered not to
    The lipid bilayer covering the electrode well was formed                   be due to small vesicles clogging the electrode hole. Dark
by giant vesicle fusion. A fluorescence microscopy image                       spots at the electrode holes in Fig. 4, B are not due to the
(Fig. 4, B) after lipid bilayer formation on the microelec-                    nonexistence of the bilayer on the well. In the region of
trode area clearly shows the existence of the homogeneous                      600-nm-thick SiO2, the fluorescence microscopy image is
320                              T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322




Fig 4. Fluorescence microscopy image of the lipid bilayer formed by the rupture of the giant vesicle on (A) the SiO2/CoSi2/Si surface and (B) the electrode area.



very bright because of the fluorescence interference contrast
effect [19]. On the other hand, the electrode hole area, in
which there is no back surface reflection, is relatively dark.
   Because the observed capacitance of 10.7 pF is almost
explained by the calculated capacitance of 10 pF due to the
SiO2 thin film (600 nm in thickness and 0.5 mm in diameter,
determined from the upper electrode, were assumed), the
capacitance due to the lipid bilayer formed on the well is
considered to be almost equal to the value estimated from
the specific capacitance of the single bilayer, 0.5 to 0.8 AF
[4,20]. From these considerations, it is concluded that the
gigaohm seal by the single bilayer was formed on the
microelectrode area.
Patterning of OTS-SAM and vesicle fusion on the surface
   Resistance of 1.2 GV is still insufficient to permit a
single-channel recording with a level of 1 pA channel
current. Much higher resistance is expected to be obtained
by reducing the edge-leak current. Working from the                                   Fig 5. The AFM image after the patterning of OTS-SAM on SiO2/Si.
concept of using the hydrophobic SAM guard ring to
reduce the edge-leak current, we have developed a                                   SiO2/Si surface is very flat (Ra = 0.22 nm). OTS-SAM was
patterning technique for SAM of OTS, of which the                                   deposited by immersing the SiO2/Si(100) substrate in a 10
hydrophobic carbon chain length is close to that of the lipid.                      mM water-saturated toluene solution of OTS for 5 seconds at
   The sample treatment and the OTS deposition were                                 RT. The OTS/SiO2 sample was sonicated in toluene, acetone,
carried out according to our earlier work [21]. Briefly, a                          ethanol, and pure water to remove the excess OTS molecules
mirror-polished Si(100) wafer covered with the native oxide                         from the OTS/SiO2 surface.
was first sonicated in acetone, ethanol, and Milli-Q water                             A negative-resist pattern was formed on the OTS/SiO2
(N 18 MV cm; Millipore Co.) for 5 minutes each. Then                                surface by using conventional photolithographic technique.
the substrate was boiled in a solution of concentrated H2SO4                        A 50-Am line-and-space conventional photomask pattern
and H2O2 (30%) (7:3 in volume ratio) at 1208C for 5 minutes                         was used. The sample was then exposed to UV light in air
to remove the organic contaminants and immersed in a HF                             for 30 minutes to remove the OTS-SAM from the open
solution (2.5%) for 2 minutes to remove the surface oxide                           area, where the distance between the sample and the lamp
layer. After this cleaning, the SiO2 film of 120 nm in                              was also 3 cm. Finally, the photoresist was removed using
thickness was deposited on the Si(100) surface by sputtering.                       a negative-resist remover (NS, Tokyo Ohka Kogyo Co.,
The sample was then exposed to UV light (UVL20US-60,                                Nakagawa, Japan) followed by rinsing with distilled water
Sen Lights Co.) for 10 minutes. The distance between the                            and drying by blowing N2. The OTS line-and-space pattern
sample and the lamp was 3 cm. AFM images show that the                              obtained and measured by AFM is shown in Fig. 5.
T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322                              321




        Fig 6. A, Fluorescence microscopy image of SPLB formed on the patterned OTS-SAM. B, Intensity distribution on the A-B line in A.


    Lipid bilayers were deposited on the patterned OTS-
SAM area by the rupture of giant unilamellar vesicles.
When the substrate was immersed in an aqueous solution of
lipid vesicles, the vesicles adhered to the surface, broke up,
and spread to form a bilayer on hydrophilic surfaces and a
monolayer on hydrophobic surfaces [21]. Fig. 6, A shows a
fluorescence microscopy image of the OTS-SAM–patterned
SiO2 surface after immersing in the giant vesicle suspen-
sion. Fig. 6, B shows the intensity distribution on the A-B
line in Fig. 6, A. Earlier study had shown that after the
vesicle fusion, a bilayer forms on hydrophilic SiO2 surfaces
and a monolayer forms on OTS-SAM hydrophobic surfaces
[21]. In the present case, a monolayer was formed on the
hydrophobic OTS-SAM area (i region in Fig. 6) and a
bilayer was formed on the hydrophilic SiO2 area (ii region
in Fig. 6), so the fluorescence intensity from the lipid layer
containing Rb is different between these areas. In the iii
region it is very dark, because neither a bilayer nor a
monolayer has formed on the SiO2 surface.
    The fluorescence microscopy image of Fig. 6 confirms                   Fig 7. Total root mean square current noise as a function of the pore
that the bilayer and the monolayer are successfully                                                                                               5
                                                                           diameter. The bandwidths of the patch clamp amplifier were (O) 5 kHz, (5 )
                                                                           10 kHz, and (D) 20 kHz.
deposited on the bare SiO2 and the OTS/SiO2 regions,
respectively. A substantial body of evidence suggests that a
thin water layer of approximately 1 to 2 nm in thickness is                considered that the OTS-SAM surface and the lipid
trapped between the substrate surface and the head-groups                  monolayer undersurface adhere completely to each other
layer in the lower leaflet of the bilayer [22]. This water layer           in the i region because of the strong hydrophobic interaction
causes the edge-leak current of the SPLB system. The                       of both surfaces. This indicates that OTS-SAM patterns can
calculated length of the OTS molecule is 2.75 nm [23]. On                  be used sufficiently as a guard ring to reduce the leak
the other hand, the lengths of the DPPC acyl group and head                current from the SPLB edge.
group are 2.0 nm and 1.5 nm, respectively. The length
mismatch seems to exist between the OTS and DPPC
                                                                           Discussion
molecules. However, in our previous experiments with
DPPC monolayer deposition by the Langmuir-Blodgett                            We have investigated the relation between single-ion-
method on the SiO2 surface with OTS-SAM islands, the                       channel current noise and pore size using the conventional
height of the DPPC monolayer area was observed to agree                    arrangement of the black membrane formed at the pore of
with that of the OTS-SAM island area [24]. Therefore, in                   the Teflon chip partitioning the two chambers. A lipid
the lipid layer–OTS-SAM structure shown in Fig. 6, it is                   bilayer was formed at the pore of the chip by contacting
322                           T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322

droplets of a decane solution (0.01 M) of DfPC to the                        [8] Wilk SJ, Petrossian L, Goryll M, Thornton TJ, Goodnick SM, Tang
pore. Gramicidin A was incorporated into the lipid bilayer                       JM, et al. Ion channels on silicon. Surf Sci Nanotech [serial on the
                                                                                 Internet] 2005;3:184 - 9 [available from: http://www.sssj.org/ejssnt.].
by mixing droplets of a KCl 1 M solution containing                          [9] Schats A, Linke-Hommes A, Neubert J. Gravity dependency of the
gramicidin A (3–30 mg/L) with the chamber solution                               gramicidin A channel conductivity—a model for gravity perception
(1 M KCl) close to the pore. Single-channel current mea-                         on the cellular level. Eur Biophys J 1996;25:37 - 41.
surements were carried out using a patch clamp amplifier                    [10] Ide T, Yanagida T. An artificial lipid bilayer formed on an agarose-
(CEZ-2400, Nihon-Koden, Japan). The observed noise was                           coated glass for simultaneous electrical and optical measurement of
                                                                                 single ion channels. Biochem Biophys Res Commun 1999;265:
reduced with decreasing the pore size as expected (Fig. 7)                       595 - 9.
[25]. As shown in these data, small pore sizes (less than                   [11] Cheng Y, Bushby RJ, Evans SD, Knowles PF, Miles RE, Ogier SD.
several microns) are necessary to create stable, low-noise,                      Single ion channel sensitivity in suspended bilayers on micro-
and high-speed single-ion-channel current devices. With                          machined supports. Langmuir 2001;17:1240 - 2.
respect to these small-pore-size chips, it is considered that               [12] Schmidt C, Mayer M, Vogel H. Chip-based biosensor for the
                                                                                 functional analysis of single ion channels. Angew Chem Int Ed
supported membranes, in which the stability is not so                            2000;39:3137 - 40.
sensitive to the fine structure of the pore, can be more                    [13] Pantoja R, Ngarah JM, Starace DM, Melosh NA, Blunck R, Bezanilla
easily formed than can suspended membranes [11].                                 F, et al. Silicon chip-based patch-clamp electrodes integrated with
Therefore, we consider that stable, low-noise, and high-                         PDMS microfluidics. Biosens Bioelectron 2004;20:509 - 17.
speed ion-channel biosensors can be created using the                       [14] Bayley H, Cremer PS. Stochastic sensors inspired by biology. Nature
                                                                                 2001;413:226 - 30.
supported-membrane structure, for which defect-free bilay-                  [15] Urisu T, Kyuragi H. Synchrotron radiation-excited chemical-vapor
er formation and edge-leak current reduction techniques                          deposition and etching. J Vac Sci Technol 1987;B5:1436 - 40.
described here are essential.                                               [16] Akashi K, Miyata H, Itoh H, Kinosita Jr K. Preparation of giant
                                                                                 liposomes in physiological conditions and their characterization under
                                                                                 an optical microscope. J Biophys 1996;71:3242 - 50.
Acknowledgment                                                              [17] Wilschut J, Duezguenes N, Papahadjopoulos D. Calcium/magnesium
                                                                                 specificity in membrane fusion: kinetics of aggregation and fusion of
   The authors thank Nippon Fine Chemical Co. for the gift                       phosphatidylserine vesicles and the role of bilayer curvature.
of DPPC. This research was supported by Grants-in-Aid for                        Biochemistry 1981;20:3126 - 33.
Scientific Research from the Ministry of Education, Culture,                [18] Leonenko ZV, Carnini A, Cramb DT. Supported planar bilayer
Sports, Science and Technology (13gs0016) of Japan.                              formation by vesicle fusion: the interaction of phospholipid vesicles
                                                                                 with surfaces and the effect of gramicidin on bilayer properties using
                                                                                 atomic force microscopy. Biochim Biophys Acta 2000;1509:131 - 47.
References                                                                  [19] Lambacher A, Fromherz P. Fluorescence interference-contrast mi-
                                                                                 croscopy on oxidized silicon using a monomolecular dye layer. Appl
 [1] Fromherz P. Neuroelectronic interfacing: semiconductor chips with           Physics 1996;A63:207 - 16.
     ion channels, nerve cells and brain. In: Waser R, editor. Nano-        [20] Terrettaz S, Mayer M, Vogel H. Highly electrically insulating tethered
     electronics and information technology. Berlin7 Wiley-VCH Verlag;           lipid bilayers for probing the function of ion channel proteins.
     2003. pp. 781 - 810.                                                        Langmuir 2003;9:5567 - 9.
 [2] Fertig N, Tike A, Blick RH, Kotthaus JP, Behrends JC, Bruggencate      [21] Tero R, Takizawa M, Li YJ, Yamazaki M, Urisu T. Lipid membrane
     GT. Stable integration of isolated cell membrane patches in a               formation by vesicle fusion on silicon dioxide surfaces modified
     nanomachined aperture. Appl Phys Lett 2000;77:1218 - 20.                    with alkyl self-assembled monolayer islands. Langmuir 2004;20:
 [3] Pantoja R, Sigg D, Blunck R, Bezanilla F, Heath JR. Bilayer                 7526 - 7531.
     reconstitution of voltage-dependent ion channels using a micro-        [22] Bayerl TM, Bloom M. Physical properties of single phospholipid
     fabricated silicon chip. Biophys J 2001;81:2389 - 94.                       bilayers adsorbed to micro glass beads. A new vesicular model
 [4] Fertig N, Meyer CH, Blick RH, Trautmann CH, Behrends JC.                    system studied by 2H-nuclear magnetic resonance. Biophys J 1990;
     Microstructured glass chip for ion-channel electrophysiology. Phys          58:357 - 62.
     Rev E 2001;64:040901-1-4.                                              [23] Wasserman SR, Tao YT, Whitesides GM. Structure and reactivity of
 [5] Romer W, Steinem C. Impedance analysis and single-channel                   alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes
     recordings on nano-black lipid membranes based on porous alumina.           on silicon substrates. Langmuir 1989;5:1074 - 87.
     Biophys J 2004;86:955 - 65.                                            [24] Takizawa M, Kim YH, Urisu T. Deposition of DPPC monolayers by
 [6] Goryll M, Wilk S, Laws GM, Thornton TJ, Goodnick S, Saraniti M,             the Langmuir-Blodgett method on SiO2 surfaces covered by
     et al. Silicon-based ion channel sensor. Superlattices Microstruct          octadecyltrichlorosilane self-assembled monolayer islands. Chem
     2003;34:451 - 7.                                                            Phys Lett 2004;385:220 - 4.
 [7] Wilk SJ, Goryll M, Laws GM, Goodnick SM, Thornton TJ, Saraniti         [25] Mayer M, Kriebel JK, Tosteson MT, Whitesides GM. Microfabricated
     M, et al. Teflon (TM)-coated silicon apertures for supported lipid          Teflon membranes for low-noise recordings of ion channels in planar
     bilayer membranes. Appl Phys Lett 2004;85:3307 - 9.                         bilayers. Biophys J 2003;85:2684 - 95.

More Related Content

What's hot

Synthesis and characterization of zno thin films
Synthesis and characterization of zno thin filmsSynthesis and characterization of zno thin films
Synthesis and characterization of zno thin filmseSAT Publishing House
 
A Review of Zinc-Oxide as Nano Materials and Devices
A Review of Zinc-Oxide as Nano Materials and DevicesA Review of Zinc-Oxide as Nano Materials and Devices
A Review of Zinc-Oxide as Nano Materials and Devicesidescitation
 
Application of Carbon dots ppt
Application of Carbon dots pptApplication of Carbon dots ppt
Application of Carbon dots pptAxy Patil
 
Nanotechnology MCQ
Nanotechnology MCQNanotechnology MCQ
Nanotechnology MCQAfra Fathima
 
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...iosrjce
 
Carbon quantum dots_synthesis_book
Carbon quantum dots_synthesis_bookCarbon quantum dots_synthesis_book
Carbon quantum dots_synthesis_bookFilipa Correia
 
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...Annadurai B
 
Introduction to Nanoparticals
Introduction to NanoparticalsIntroduction to Nanoparticals
Introduction to Nanoparticalsganeshapsunde
 
Presentation1 832
Presentation1 832Presentation1 832
Presentation1 832Suman Nepal
 
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...Jagpreet Singh
 
Application of carbon nanotubes in water desalination
Application of carbon nanotubes in water desalinationApplication of carbon nanotubes in water desalination
Application of carbon nanotubes in water desalinationAnkit Kumar Singh
 
Final Presentation on Iron Nanoparticles_Prajwal (1)
Final Presentation on Iron Nanoparticles_Prajwal (1)Final Presentation on Iron Nanoparticles_Prajwal (1)
Final Presentation on Iron Nanoparticles_Prajwal (1)Prajwal Bahukhandi
 
Synthesis and Characterisation of Copper Oxide nanoparticles
Synthesis and Characterisation of Copper Oxide nanoparticlesSynthesis and Characterisation of Copper Oxide nanoparticles
Synthesis and Characterisation of Copper Oxide nanoparticlesIOSR Journals
 
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...Govind Soni
 

What's hot (20)

Synthesis and characterization of zno thin films
Synthesis and characterization of zno thin filmsSynthesis and characterization of zno thin films
Synthesis and characterization of zno thin films
 
A Review of Zinc-Oxide as Nano Materials and Devices
A Review of Zinc-Oxide as Nano Materials and DevicesA Review of Zinc-Oxide as Nano Materials and Devices
A Review of Zinc-Oxide as Nano Materials and Devices
 
Application of Carbon dots ppt
Application of Carbon dots pptApplication of Carbon dots ppt
Application of Carbon dots ppt
 
Nanotechnology MCQ
Nanotechnology MCQNanotechnology MCQ
Nanotechnology MCQ
 
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
Synthesis and characterization of ZnO nanoparticles via aqueous solution, sol...
 
Carbon quantum dots_synthesis_book
Carbon quantum dots_synthesis_bookCarbon quantum dots_synthesis_book
Carbon quantum dots_synthesis_book
 
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...
56.Synthesis, Characterization and Antibacterial activity of iron oxide Nanop...
 
Introduction to Nanoparticals
Introduction to NanoparticalsIntroduction to Nanoparticals
Introduction to Nanoparticals
 
Presentation1 832
Presentation1 832Presentation1 832
Presentation1 832
 
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...
Synthesis and Characterization of Copper Oxide Nanoparticles and its Applicat...
 
Synthesis of silver nanoparticles presentation
Synthesis of silver nanoparticles presentation Synthesis of silver nanoparticles presentation
Synthesis of silver nanoparticles presentation
 
Carbon dots
Carbon dotsCarbon dots
Carbon dots
 
Probing of DNA structured with Nanoparticles
Probing of DNA structured with NanoparticlesProbing of DNA structured with Nanoparticles
Probing of DNA structured with Nanoparticles
 
Application of carbon nanotubes in water desalination
Application of carbon nanotubes in water desalinationApplication of carbon nanotubes in water desalination
Application of carbon nanotubes in water desalination
 
Nano particles
Nano particlesNano particles
Nano particles
 
NanoWorcester Poster 130925
NanoWorcester Poster 130925NanoWorcester Poster 130925
NanoWorcester Poster 130925
 
Final Presentation on Iron Nanoparticles_Prajwal (1)
Final Presentation on Iron Nanoparticles_Prajwal (1)Final Presentation on Iron Nanoparticles_Prajwal (1)
Final Presentation on Iron Nanoparticles_Prajwal (1)
 
Synthesis and Characterisation of Copper Oxide nanoparticles
Synthesis and Characterisation of Copper Oxide nanoparticlesSynthesis and Characterisation of Copper Oxide nanoparticles
Synthesis and Characterisation of Copper Oxide nanoparticles
 
TiO2 Nanomaterial
TiO2 NanomaterialTiO2 Nanomaterial
TiO2 Nanomaterial
 
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...
Synthesis of ZnO Nanoparticles using wet chemical method and its characteriza...
 

Viewers also liked

Daily equity news letter by capital height 17 09-10
Daily equity news letter by capital height 17 09-10Daily equity news letter by capital height 17 09-10
Daily equity news letter by capital height 17 09-10capital Height
 
Фараон - сакральный символ Египта
Фараон - сакральный символ ЕгиптаФараон - сакральный символ Египта
Фараон - сакральный символ Египтаfonelene elengone
 
афинский акрополь
афинский акропольафинский акрополь
афинский акропольfonelene elengone
 
Андрей Рублев. Продолжение
Андрей Рублев. ПродолжениеАндрей Рублев. Продолжение
Андрей Рублев. Продолжениеfonelene elengone
 
Закон электрического взаимодействия 2012
Закон электрического взаимодействия 2012Закон электрического взаимодействия 2012
Закон электрического взаимодействия 2012fonelene elengone
 
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)themachinenetwork
 
Тверская школа иконописи
Тверская школа иконописиТверская школа иконописи
Тверская школа иконописиfonelene elengone
 
2008 08-14-proposal-dr-yunus-e-helthcare
2008 08-14-proposal-dr-yunus-e-helthcare2008 08-14-proposal-dr-yunus-e-helthcare
2008 08-14-proposal-dr-yunus-e-helthcareDr. Mashiur Rahman
 
Теотиуакан - город, где рождаются боги
Теотиуакан - город, где рождаются богиТеотиуакан - город, где рождаются боги
Теотиуакан - город, где рождаются богиfonelene elengone
 
Иконы Богоматери
Иконы БогоматериИконы Богоматери
Иконы Богоматериfonelene elengone
 
Усыпальница нефертари
Усыпальница нефертариУсыпальница нефертари
Усыпальница нефертариfonelene elengone
 

Viewers also liked (15)

Иконы Спаса
Иконы СпасаИконы Спаса
Иконы Спаса
 
Daily equity news letter by capital height 17 09-10
Daily equity news letter by capital height 17 09-10Daily equity news letter by capital height 17 09-10
Daily equity news letter by capital height 17 09-10
 
Фараон - сакральный символ Египта
Фараон - сакральный символ ЕгиптаФараон - сакральный символ Египта
Фараон - сакральный символ Египта
 
Web newsletter, nov. 2013 (1)
Web newsletter, nov. 2013 (1)Web newsletter, nov. 2013 (1)
Web newsletter, nov. 2013 (1)
 
афинский акрополь
афинский акропольафинский акрополь
афинский акрополь
 
Андрей Рублев. Продолжение
Андрей Рублев. ПродолжениеАндрей Рублев. Продолжение
Андрей Рублев. Продолжение
 
Закон электрического взаимодействия 2012
Закон электрического взаимодействия 2012Закон электрического взаимодействия 2012
Закон электрического взаимодействия 2012
 
Книга мертвых
Книга мертвыхКнига мертвых
Книга мертвых
 
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)
33 Viral Marketing Slideshow 1460 Cc32 1 B78 E152 920 Fae9 F4 Bc669 Bc(2)
 
Тверская школа иконописи
Тверская школа иконописиТверская школа иконописи
Тверская школа иконописи
 
2008 08-14-proposal-dr-yunus-e-helthcare
2008 08-14-proposal-dr-yunus-e-helthcare2008 08-14-proposal-dr-yunus-e-helthcare
2008 08-14-proposal-dr-yunus-e-helthcare
 
Теотиуакан - город, где рождаются боги
Теотиуакан - город, где рождаются богиТеотиуакан - город, где рождаются боги
Теотиуакан - город, где рождаются боги
 
Иконы Богоматери
Иконы БогоматериИконы Богоматери
Иконы Богоматери
 
Усыпальница нефертари
Усыпальница нефертариУсыпальница нефертари
Усыпальница нефертари
 
Копан
КопанКопан
Копан
 

Similar to Nanomedicine 2005

Microfluidic oled vivek hegde
Microfluidic oled vivek hegdeMicrofluidic oled vivek hegde
Microfluidic oled vivek hegdeVivek Hegde
 
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...antjjournal
 
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex Adams
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex AdamsSilicon Microwire and Micro-bridge Development for pH sensing-711382-Alex Adams
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex AdamsAlex Adams
 
Innovation Technology for Water Desalination Based on RO-NF Membrane
Innovation Technology for Water Desalination Based on RO-NF MembraneInnovation Technology for Water Desalination Based on RO-NF Membrane
Innovation Technology for Water Desalination Based on RO-NF MembraneAbdallah M. Ashraf
 
MONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxMONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxParasSingh894545
 
2012 tus lecture 6
2012 tus lecture 62012 tus lecture 6
2012 tus lecture 6AllenHermann
 
Thin Film Silicon Nanowire - Prof.Rusli
Thin Film Silicon Nanowire - Prof.RusliThin Film Silicon Nanowire - Prof.Rusli
Thin Film Silicon Nanowire - Prof.RusliSTS FORUM 2016
 
Fabrication of zn o nanorod modified ITO
Fabrication of zn o nanorod modified ITOFabrication of zn o nanorod modified ITO
Fabrication of zn o nanorod modified ITOViolet Flower
 
Influence of Manganese doping on Structural, optical and ethanol sensing of S...
Influence of Manganese doping on Structural, optical and ethanol sensing of S...Influence of Manganese doping on Structural, optical and ethanol sensing of S...
Influence of Manganese doping on Structural, optical and ethanol sensing of S...IRJET Journal
 
Preparation and Properties of Nanocrystalline Zinc Oxide Thin Films
Preparation and Properties of Nanocrystalline Zinc Oxide Thin FilmsPreparation and Properties of Nanocrystalline Zinc Oxide Thin Films
Preparation and Properties of Nanocrystalline Zinc Oxide Thin Filmsijtsrd
 
2012 tus lecture 7
2012 tus lecture 72012 tus lecture 7
2012 tus lecture 7AllenHermann
 
Dye Sensitized Solar Cells Incorporated with Tio2 -ZnO Nanoparticles
Dye Sensitized Solar Cells Incorporated  with Tio2 -ZnO NanoparticlesDye Sensitized Solar Cells Incorporated  with Tio2 -ZnO Nanoparticles
Dye Sensitized Solar Cells Incorporated with Tio2 -ZnO NanoparticlesScientific Review SR
 
Quantum dots and nanofibers
Quantum dots and nanofibersQuantum dots and nanofibers
Quantum dots and nanofibersPoojaYadav674258
 
Plasmon Enhanced Solar Cells, Jan-Henrik Smått
Plasmon Enhanced Solar Cells, Jan-Henrik SmåttPlasmon Enhanced Solar Cells, Jan-Henrik Smått
Plasmon Enhanced Solar Cells, Jan-Henrik SmåttBusiness Turku
 
Ganguli Future Of Material Science
Ganguli Future Of Material ScienceGanguli Future Of Material Science
Ganguli Future Of Material ScienceEmTech
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.Toru Hara
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lectureToru Hara
 

Similar to Nanomedicine 2005 (20)

Microfluidic oled vivek hegde
Microfluidic oled vivek hegdeMicrofluidic oled vivek hegde
Microfluidic oled vivek hegde
 
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...
A NOVEL PRECURSOR IN PREPARATION AND CHARACTERIZATION OF NICKEL OXIDE (NIO) A...
 
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex Adams
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex AdamsSilicon Microwire and Micro-bridge Development for pH sensing-711382-Alex Adams
Silicon Microwire and Micro-bridge Development for pH sensing-711382-Alex Adams
 
Innovation Technology for Water Desalination Based on RO-NF Membrane
Innovation Technology for Water Desalination Based on RO-NF MembraneInnovation Technology for Water Desalination Based on RO-NF Membrane
Innovation Technology for Water Desalination Based on RO-NF Membrane
 
MONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptxMONOLITHIC IC PROCESSES ppt.pptx
MONOLITHIC IC PROCESSES ppt.pptx
 
2012 tus lecture 6
2012 tus lecture 62012 tus lecture 6
2012 tus lecture 6
 
Ajeassp.2014.387.390
Ajeassp.2014.387.390Ajeassp.2014.387.390
Ajeassp.2014.387.390
 
Thin Film Silicon Nanowire - Prof.Rusli
Thin Film Silicon Nanowire - Prof.RusliThin Film Silicon Nanowire - Prof.Rusli
Thin Film Silicon Nanowire - Prof.Rusli
 
Fabrication of zn o nanorod modified ITO
Fabrication of zn o nanorod modified ITOFabrication of zn o nanorod modified ITO
Fabrication of zn o nanorod modified ITO
 
Influence of Manganese doping on Structural, optical and ethanol sensing of S...
Influence of Manganese doping on Structural, optical and ethanol sensing of S...Influence of Manganese doping on Structural, optical and ethanol sensing of S...
Influence of Manganese doping on Structural, optical and ethanol sensing of S...
 
Preparation and Properties of Nanocrystalline Zinc Oxide Thin Films
Preparation and Properties of Nanocrystalline Zinc Oxide Thin FilmsPreparation and Properties of Nanocrystalline Zinc Oxide Thin Films
Preparation and Properties of Nanocrystalline Zinc Oxide Thin Films
 
2012 tus lecture 7
2012 tus lecture 72012 tus lecture 7
2012 tus lecture 7
 
Dye Sensitized Solar Cells Incorporated with Tio2 -ZnO Nanoparticles
Dye Sensitized Solar Cells Incorporated  with Tio2 -ZnO NanoparticlesDye Sensitized Solar Cells Incorporated  with Tio2 -ZnO Nanoparticles
Dye Sensitized Solar Cells Incorporated with Tio2 -ZnO Nanoparticles
 
Advanced medical micro devices
Advanced medical micro devicesAdvanced medical micro devices
Advanced medical micro devices
 
Quantum dots and nanofibers
Quantum dots and nanofibersQuantum dots and nanofibers
Quantum dots and nanofibers
 
Plasmon Enhanced Solar Cells, Jan-Henrik Smått
Plasmon Enhanced Solar Cells, Jan-Henrik SmåttPlasmon Enhanced Solar Cells, Jan-Henrik Smått
Plasmon Enhanced Solar Cells, Jan-Henrik Smått
 
Ganguli Future Of Material Science
Ganguli Future Of Material ScienceGanguli Future Of Material Science
Ganguli Future Of Material Science
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lecture
 
Outline Thesis
Outline ThesisOutline Thesis
Outline Thesis
 

More from Dr. Mashiur Rahman

More from Dr. Mashiur Rahman (6)

2008 05-14-my0 competition
2008 05-14-my0 competition2008 05-14-my0 competition
2008 05-14-my0 competition
 
2008 05-14-yunus-meeting
2008 05-14-yunus-meeting2008 05-14-yunus-meeting
2008 05-14-yunus-meeting
 
2008 03-03-1st-meeting
2008 03-03-1st-meeting2008 03-03-1st-meeting
2008 03-03-1st-meeting
 
2008 08-14-demo software
2008 08-14-demo software2008 08-14-demo software
2008 08-14-demo software
 
2008 08-14-presentation final
2008 08-14-presentation final2008 08-14-presentation final
2008 08-14-presentation final
 
2008 08-14-gantt
2008 08-14-gantt2008 08-14-gantt
2008 08-14-gantt
 

Recently uploaded

Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 

Recently uploaded (20)

Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 

Nanomedicine 2005

  • 1. Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317 – 322 www.nanomedjournal.com Experimental Formation of high-resistance supported lipid bilayer on the surface of a silicon substrate with microelectrodes Tsuneo Urisu, PhD,4 Md. Mashiur Rahman, Hidetaka Uno, Ryugo Tero, PhD, Yoichi Nonogaki, PhD Department of Vacuum UV Photoscience, Institute for Molecular Science, The Graduate University for Advanced Studies, Myodaiji, Okazaki, Japan Received 28 August 2005; accepted 10 October 2005 Abstract We have developed two basic technologies for fabrication of supported planar lipid bilayer membrane ion channel biosensors: a defect-free lipid bilayer formation on the substrate surface with electrode pores and a patterning technique for the hydrophobic self-assembled-monolayer to form the guard ring that reduces the lipid bilayer edge-leak current. The importance of the supported- membrane structure to achieve low noise and high-speed performance is suggested on the basis of the observed relation between the single-ion-channel current noise and the pore size. D 2005 Published by Elsevier Inc. Key words: Supported membrane; Lipid bilayer; Membrane protein; Gramicidin; Self-assembled monolayer; Ion channel; Biosensor Signal transmission and processing in the living body many applications [1]. The ion channel and/or receptor- takes place via life body molecules, specifically neuronal reconstructed lipid bilayer as a key component of the transmitter molecules, as the signal carrier. It is a unique detector of neuronal transmitter molecules is useful not communication system comparable to electrical and optical only as a biosensor but also in an in vitro study of cell communication, which use electrons and photons, respec- membrane biological functions. In reports of single-ion- tively, as the signal carriers. Thus it can be called channel biosensors, membrane protein reconstructed lipid bmolecular communication.Q Neurotransmitter molecules bilayers are suspended in a small pore on the substrate discharged from the presynaptic membrane are received by made by Si, SiO2, glass, or other materials [2-13]. ion channels on the surface of postsynaptic membranes, However, technological problems still exist with stability and the electrical signal (ie, the depolarization of the of the single-ion-channel biosensor. Development of the membrane) is generated by the channel current flowing supported-membrane sensor is considered to be one way to though ion channel pores. The development of molecular solve these problems. However, single-ion-channel record- communication devices such as a detector and a transmitter ing has not yet been successful in supported-membrane of neurotransmitter molecules has the potential to facilitate devices. The challenges are believed to consist in the important medical applications such as diagnostics, treat- fabrication of a defect-free supported planar lipid bilayer ment of diseases, and screening in drug development. (SPLB) on the substrate surface and the reduction in edge- Combination with the Si LSI technology allows a leak current through the thin water layer under the lipid significant scale-down to nanosized devices suitable for bilayer [14]. insertion into the body, or efficient integrations useful in Here we have developed two basic process technolo- gies necessary to fabricate the ion channel supported- membrane biosensors: formation of a defect-free lipid bilayer on the Si surface with microelectrode pores, and No conflict of interest was reported by the authors of this paper. 4 Corresponding author. Institute for Molecular Science, Myodaiji, the deposition and patterning of the hydrophobic self- Okazaki, 444-8585 Japan. assembled monolayer (SAM) as a guard ring to reduce the E-mail address: urisu@ims.ac.jp (T. Urisu). edge-leak current. 1549-9634/$ – see front matter D 2005 Published by Elsevier Inc. doi:10.1016/j.nano.2005.10.002
  • 2. 318 T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322 Materials Palmitoyl-2-oleoyl-sn-3-[phosphor-l-serin] (POPS), 1,2- diphytanoyl-sn-glycero-3-phosphocholine (DfPC), and fluorescence-labeled lipid diacyl phosphoethanolamine-N- lissamine rhodamine B sulfonyl (Rb) were purchased from Avanti Polar Lipids Co. (Alabaster, AL) Dipalmitoylphos- phatidylcholine (DPPC) was provided by Nippon Fine Chemical Co. (Osaka, Japan) HF, H2O2, H2SO4, HCl, and HNO3 solutions, as well as CaCl2 and KCl, were analytical grade and purchased from Sigma-Aldrich (St. Louis, MO). Octadecyltrichlorosilane (OTS) and toluene were also purchased from Sigma-Aldrich. All of the chemicals and solvents were used without further purification. The purities of Co and SiO2 sputter targets and Ag wires (0.5 mm diameter) were 99.99%. Spin-on glass (SOG) was purchased from Rasa Industries Co. (Tokyo, Japan) Si(100) wafers (p type, B doped, 0.018 V cm, and 525 Am in thickness) were purchased from Miyoshi Co. Water (Kanagawa, Japan) with a typical resistivity of greater than 18 MV cm was produced using a Milli-Q purification system (Millipore Co., Billerica, MA) Formation of defect-free SPLB Formation of the SPLB with sufficiently high resistivity (greater than gigaohms) is the necessary condition for single-ion-channel recordings. To make a defect-free Fig 1. Schematics of the fabrication process of the supported-membrane SPLB, It is crucial that the surface roughness be substrate with AgCl/Ag microelectrodes and the SPLB. minimized. In this work we have successfully made the pore with about 1 Am diameter for the microelectrode, keeping the Si substrate surface extremely flat (Ra b 1 (0.05 torr) and O2 (0.002 torr) as the etching gas. The SR nm), by using femtosecond laser ablation patterning and etching provides a vertical side wall and completely stops at synchrotron radiation (SR) etching. the CoSi 2 surface [15]. The Co contact mask was successfully removed without damaging the substrate by immersion into 0.1 M HNO3 aqueous. Ag (50 nm thickness) Methods and results was deposited on CoSi2 electrode surfaces by electroplating. Fig. 1 shows the fabrication process of the well structures Then, AgCl/Ag was also formed by electroplating. with microelectrodes. Co (10 nm thick) and Ag (100 nm Unilamellar giant vesicles [16] of DPPC/POPS/Rb (ratio thick) thin films were sputter-deposited on the mirror- 89.5:10:0.5) were prepared as follows. A chloroform polished and reverse-side rough Si(100) surfaces after solution of a lipid mixture (10 mg/mL) was dried under conventional wet cleaning. After that, a SiO2 thin film N2 flow using a rotary evaporator for about 30 minutes and consisting of SOG (400 nm thickness) and sputtered SiO2 subsequently vacuum-dried for 10 hours to completely (200 nm thickness) were deposited and the sample was remove the solvent; a buffer solution (10 mM KCl) was annealed at 5408C for 10 minutes. By this process the Co added to the lipid thin film obtained and gently agitated. The layer was changed to CoSi2 and the Co/Si interface became lipid concentration of the suspension obtained was 0.1 mg/ ohmic. The sample was then annealed by SR irradiation to mL. All the processes were carried out at room temperature remove gas from the SOG [15]. A Co layer as an etching (RT). After incubation at 488C for 10 hours, dialysis was contact mask was deposited on the SOG surface by then carried out for the suspension of giant vesicles using a sputtering, and electrode hole mask patterns were made 5-Am filter for 1 hour in the buffer solution (10 mM KCl, using a femtosecond laser (E = 1560 nm, average power = pH 6.6) at RT. For the deposition of lipid bilayer 250 mW, frequency = 258 kHz, pulse width = 900 femto- membranes, the substrate was incubated for 1 hour at seconds, and irradiation time = 4 milliseconds). The 508C under a buffer solution formed by mixing 200 AL of diameter of an electrode hole was about 1 Am. SR etching the vesicle suspension and 50 AL of a 50 mM solution of of the SiO2 layer for making the well on the electrode was CaCl2. Then the sample was washed five times at RT with carried out at beam line 4A2 of the SR facility (UVSOR) at the buffer solution. Atomic force microscopy (AFM) the Institute for Molecular Science, using a mixture of SF6 observations were carried out using a SPI3800 scanning
  • 3. T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322 319 Fig 2. A, The surface morphology measured by AFM around the electrode holes of the substrate after AgCl electroplating. B, Cross-sectional profile. probe microscopy system (Seiko Instrument Inc.) in the dynamic force mode (tapping mode) using a Si cantilever. The spring constant of the cantilever for measuring the surface roughness of the substrate in air was 43 N/m, and 1.5 N/m for the in situ characterization of the lipid bilayer. Fig. 2, A shows the AFM topography of the substrate surface around the electrode wells made by the process shown in Fig. 1. The cross-sectional profile in line with X-Y is also shown in Fig. 2, B. These data show that the surface around the electrode well is very flat (Ra ~0.8 nm). To obtain such a flat surface, it was important to control the irradiation power of the femtosecond laser such that only the Co film was removed while causing negligible damage to the SiO2 layer beneath. If the SiO2 layer was also sputtered by the laser, particles (composition unknown) of 100 to 200 nm diameter, which were difficult to remove using the usual etching solutions such as HF, HCl, H2SO4, and HNO3, Fig 3. I-V characteristics of the substrate measured in 10 mM KCl solution were deposited around the wells. The electric characteristics (A) before and (B) after SPLB formation, and (C) the equivalent circuit of were determined using a patch clamp amplifier (CEZ-2400, the system. Nihon-Koden, Tokyo, Japan) through the AgCl/Ag elec- trode in conjunction with the eCell (Version 2.12) software. lipid thin film. Because the bilayer was formed using the Line a in Fig. 3 shows the current-voltage (I-V) character- same protocol as that used in the formation of the single istics of the substrate under the buffer solution before bilayer patches shown in Fig. 4, A, it is considered from the vesicle fusion. From these data, the series resistance Rs in fluorescence microscopy image that the single bilayer was the equivalent circuit shown in Fig. 3, C is given to be 10 F formed on the microelectrode area. From the I-V character- 3 MV. Mixing of negatively charged lipid POPS with istics of the system measured after the lipid bilayer neutral lipid DPPC was essentially effective in forming formation (shown as line b in Fig. 3), the resistance of the unilamellar giant vesicles without aggregation. Addition of lipid bilayer (Rm in Fig. 3, C) was estimated to be 1.2 GV. Ca2+ was also necessary to induce the rupture of vesicles on The capacitance (Cm in Fig. 3, C) of the system measured the SiO2 surface [17]. Fig. 4, A shows a fluorescence using a patch clamp amplifier was 10.7 pF. These values microscopy image of a single SPLB formed on the were observed with extremely good reproducibility during SiO2/Si(100) surface by the rupture of the giant vesicles. our experiments for more than 5 hours. Because the The diameter of the bilayer was typically about 200 to resistance almost completely returned to the original value 300 Am, large enough to cover the electrode area (10 to 30 Am of 10 MV when the bilayer was broken by adding 5 AL of diameter). The thickness of the bilayer, 4.5 nm, observed gramicidin solution (1 mg/mL), the high resistance observed by AFM corresponds to the height of the single bilayer [18]. subsequent to formation of the bilayer was considered not to The lipid bilayer covering the electrode well was formed be due to small vesicles clogging the electrode hole. Dark by giant vesicle fusion. A fluorescence microscopy image spots at the electrode holes in Fig. 4, B are not due to the (Fig. 4, B) after lipid bilayer formation on the microelec- nonexistence of the bilayer on the well. In the region of trode area clearly shows the existence of the homogeneous 600-nm-thick SiO2, the fluorescence microscopy image is
  • 4. 320 T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322 Fig 4. Fluorescence microscopy image of the lipid bilayer formed by the rupture of the giant vesicle on (A) the SiO2/CoSi2/Si surface and (B) the electrode area. very bright because of the fluorescence interference contrast effect [19]. On the other hand, the electrode hole area, in which there is no back surface reflection, is relatively dark. Because the observed capacitance of 10.7 pF is almost explained by the calculated capacitance of 10 pF due to the SiO2 thin film (600 nm in thickness and 0.5 mm in diameter, determined from the upper electrode, were assumed), the capacitance due to the lipid bilayer formed on the well is considered to be almost equal to the value estimated from the specific capacitance of the single bilayer, 0.5 to 0.8 AF [4,20]. From these considerations, it is concluded that the gigaohm seal by the single bilayer was formed on the microelectrode area. Patterning of OTS-SAM and vesicle fusion on the surface Resistance of 1.2 GV is still insufficient to permit a single-channel recording with a level of 1 pA channel current. Much higher resistance is expected to be obtained by reducing the edge-leak current. Working from the Fig 5. The AFM image after the patterning of OTS-SAM on SiO2/Si. concept of using the hydrophobic SAM guard ring to reduce the edge-leak current, we have developed a SiO2/Si surface is very flat (Ra = 0.22 nm). OTS-SAM was patterning technique for SAM of OTS, of which the deposited by immersing the SiO2/Si(100) substrate in a 10 hydrophobic carbon chain length is close to that of the lipid. mM water-saturated toluene solution of OTS for 5 seconds at The sample treatment and the OTS deposition were RT. The OTS/SiO2 sample was sonicated in toluene, acetone, carried out according to our earlier work [21]. Briefly, a ethanol, and pure water to remove the excess OTS molecules mirror-polished Si(100) wafer covered with the native oxide from the OTS/SiO2 surface. was first sonicated in acetone, ethanol, and Milli-Q water A negative-resist pattern was formed on the OTS/SiO2 (N 18 MV cm; Millipore Co.) for 5 minutes each. Then surface by using conventional photolithographic technique. the substrate was boiled in a solution of concentrated H2SO4 A 50-Am line-and-space conventional photomask pattern and H2O2 (30%) (7:3 in volume ratio) at 1208C for 5 minutes was used. The sample was then exposed to UV light in air to remove the organic contaminants and immersed in a HF for 30 minutes to remove the OTS-SAM from the open solution (2.5%) for 2 minutes to remove the surface oxide area, where the distance between the sample and the lamp layer. After this cleaning, the SiO2 film of 120 nm in was also 3 cm. Finally, the photoresist was removed using thickness was deposited on the Si(100) surface by sputtering. a negative-resist remover (NS, Tokyo Ohka Kogyo Co., The sample was then exposed to UV light (UVL20US-60, Nakagawa, Japan) followed by rinsing with distilled water Sen Lights Co.) for 10 minutes. The distance between the and drying by blowing N2. The OTS line-and-space pattern sample and the lamp was 3 cm. AFM images show that the obtained and measured by AFM is shown in Fig. 5.
  • 5. T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322 321 Fig 6. A, Fluorescence microscopy image of SPLB formed on the patterned OTS-SAM. B, Intensity distribution on the A-B line in A. Lipid bilayers were deposited on the patterned OTS- SAM area by the rupture of giant unilamellar vesicles. When the substrate was immersed in an aqueous solution of lipid vesicles, the vesicles adhered to the surface, broke up, and spread to form a bilayer on hydrophilic surfaces and a monolayer on hydrophobic surfaces [21]. Fig. 6, A shows a fluorescence microscopy image of the OTS-SAM–patterned SiO2 surface after immersing in the giant vesicle suspen- sion. Fig. 6, B shows the intensity distribution on the A-B line in Fig. 6, A. Earlier study had shown that after the vesicle fusion, a bilayer forms on hydrophilic SiO2 surfaces and a monolayer forms on OTS-SAM hydrophobic surfaces [21]. In the present case, a monolayer was formed on the hydrophobic OTS-SAM area (i region in Fig. 6) and a bilayer was formed on the hydrophilic SiO2 area (ii region in Fig. 6), so the fluorescence intensity from the lipid layer containing Rb is different between these areas. In the iii region it is very dark, because neither a bilayer nor a monolayer has formed on the SiO2 surface. The fluorescence microscopy image of Fig. 6 confirms Fig 7. Total root mean square current noise as a function of the pore that the bilayer and the monolayer are successfully 5 diameter. The bandwidths of the patch clamp amplifier were (O) 5 kHz, (5 ) 10 kHz, and (D) 20 kHz. deposited on the bare SiO2 and the OTS/SiO2 regions, respectively. A substantial body of evidence suggests that a thin water layer of approximately 1 to 2 nm in thickness is considered that the OTS-SAM surface and the lipid trapped between the substrate surface and the head-groups monolayer undersurface adhere completely to each other layer in the lower leaflet of the bilayer [22]. This water layer in the i region because of the strong hydrophobic interaction causes the edge-leak current of the SPLB system. The of both surfaces. This indicates that OTS-SAM patterns can calculated length of the OTS molecule is 2.75 nm [23]. On be used sufficiently as a guard ring to reduce the leak the other hand, the lengths of the DPPC acyl group and head current from the SPLB edge. group are 2.0 nm and 1.5 nm, respectively. The length mismatch seems to exist between the OTS and DPPC Discussion molecules. However, in our previous experiments with DPPC monolayer deposition by the Langmuir-Blodgett We have investigated the relation between single-ion- method on the SiO2 surface with OTS-SAM islands, the channel current noise and pore size using the conventional height of the DPPC monolayer area was observed to agree arrangement of the black membrane formed at the pore of with that of the OTS-SAM island area [24]. Therefore, in the Teflon chip partitioning the two chambers. A lipid the lipid layer–OTS-SAM structure shown in Fig. 6, it is bilayer was formed at the pore of the chip by contacting
  • 6. 322 T. Urisu et al. / Nanomedicine: Nanotechnology, Biology, and Medicine 1 (2005) 317–322 droplets of a decane solution (0.01 M) of DfPC to the [8] Wilk SJ, Petrossian L, Goryll M, Thornton TJ, Goodnick SM, Tang pore. Gramicidin A was incorporated into the lipid bilayer JM, et al. Ion channels on silicon. Surf Sci Nanotech [serial on the Internet] 2005;3:184 - 9 [available from: http://www.sssj.org/ejssnt.]. by mixing droplets of a KCl 1 M solution containing [9] Schats A, Linke-Hommes A, Neubert J. Gravity dependency of the gramicidin A (3–30 mg/L) with the chamber solution gramicidin A channel conductivity—a model for gravity perception (1 M KCl) close to the pore. Single-channel current mea- on the cellular level. Eur Biophys J 1996;25:37 - 41. surements were carried out using a patch clamp amplifier [10] Ide T, Yanagida T. An artificial lipid bilayer formed on an agarose- (CEZ-2400, Nihon-Koden, Japan). The observed noise was coated glass for simultaneous electrical and optical measurement of single ion channels. Biochem Biophys Res Commun 1999;265: reduced with decreasing the pore size as expected (Fig. 7) 595 - 9. [25]. As shown in these data, small pore sizes (less than [11] Cheng Y, Bushby RJ, Evans SD, Knowles PF, Miles RE, Ogier SD. several microns) are necessary to create stable, low-noise, Single ion channel sensitivity in suspended bilayers on micro- and high-speed single-ion-channel current devices. With machined supports. Langmuir 2001;17:1240 - 2. respect to these small-pore-size chips, it is considered that [12] Schmidt C, Mayer M, Vogel H. Chip-based biosensor for the functional analysis of single ion channels. Angew Chem Int Ed supported membranes, in which the stability is not so 2000;39:3137 - 40. sensitive to the fine structure of the pore, can be more [13] Pantoja R, Ngarah JM, Starace DM, Melosh NA, Blunck R, Bezanilla easily formed than can suspended membranes [11]. F, et al. Silicon chip-based patch-clamp electrodes integrated with Therefore, we consider that stable, low-noise, and high- PDMS microfluidics. Biosens Bioelectron 2004;20:509 - 17. speed ion-channel biosensors can be created using the [14] Bayley H, Cremer PS. Stochastic sensors inspired by biology. Nature 2001;413:226 - 30. supported-membrane structure, for which defect-free bilay- [15] Urisu T, Kyuragi H. Synchrotron radiation-excited chemical-vapor er formation and edge-leak current reduction techniques deposition and etching. J Vac Sci Technol 1987;B5:1436 - 40. described here are essential. [16] Akashi K, Miyata H, Itoh H, Kinosita Jr K. Preparation of giant liposomes in physiological conditions and their characterization under an optical microscope. J Biophys 1996;71:3242 - 50. Acknowledgment [17] Wilschut J, Duezguenes N, Papahadjopoulos D. Calcium/magnesium specificity in membrane fusion: kinetics of aggregation and fusion of The authors thank Nippon Fine Chemical Co. for the gift phosphatidylserine vesicles and the role of bilayer curvature. of DPPC. This research was supported by Grants-in-Aid for Biochemistry 1981;20:3126 - 33. Scientific Research from the Ministry of Education, Culture, [18] Leonenko ZV, Carnini A, Cramb DT. Supported planar bilayer Sports, Science and Technology (13gs0016) of Japan. formation by vesicle fusion: the interaction of phospholipid vesicles with surfaces and the effect of gramicidin on bilayer properties using atomic force microscopy. Biochim Biophys Acta 2000;1509:131 - 47. References [19] Lambacher A, Fromherz P. Fluorescence interference-contrast mi- croscopy on oxidized silicon using a monomolecular dye layer. Appl [1] Fromherz P. Neuroelectronic interfacing: semiconductor chips with Physics 1996;A63:207 - 16. ion channels, nerve cells and brain. In: Waser R, editor. Nano- [20] Terrettaz S, Mayer M, Vogel H. Highly electrically insulating tethered electronics and information technology. Berlin7 Wiley-VCH Verlag; lipid bilayers for probing the function of ion channel proteins. 2003. pp. 781 - 810. Langmuir 2003;9:5567 - 9. [2] Fertig N, Tike A, Blick RH, Kotthaus JP, Behrends JC, Bruggencate [21] Tero R, Takizawa M, Li YJ, Yamazaki M, Urisu T. Lipid membrane GT. Stable integration of isolated cell membrane patches in a formation by vesicle fusion on silicon dioxide surfaces modified nanomachined aperture. Appl Phys Lett 2000;77:1218 - 20. with alkyl self-assembled monolayer islands. Langmuir 2004;20: [3] Pantoja R, Sigg D, Blunck R, Bezanilla F, Heath JR. Bilayer 7526 - 7531. reconstitution of voltage-dependent ion channels using a micro- [22] Bayerl TM, Bloom M. Physical properties of single phospholipid fabricated silicon chip. Biophys J 2001;81:2389 - 94. bilayers adsorbed to micro glass beads. A new vesicular model [4] Fertig N, Meyer CH, Blick RH, Trautmann CH, Behrends JC. system studied by 2H-nuclear magnetic resonance. Biophys J 1990; Microstructured glass chip for ion-channel electrophysiology. Phys 58:357 - 62. Rev E 2001;64:040901-1-4. [23] Wasserman SR, Tao YT, Whitesides GM. Structure and reactivity of [5] Romer W, Steinem C. Impedance analysis and single-channel alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes recordings on nano-black lipid membranes based on porous alumina. on silicon substrates. Langmuir 1989;5:1074 - 87. Biophys J 2004;86:955 - 65. [24] Takizawa M, Kim YH, Urisu T. Deposition of DPPC monolayers by [6] Goryll M, Wilk S, Laws GM, Thornton TJ, Goodnick S, Saraniti M, the Langmuir-Blodgett method on SiO2 surfaces covered by et al. Silicon-based ion channel sensor. Superlattices Microstruct octadecyltrichlorosilane self-assembled monolayer islands. Chem 2003;34:451 - 7. Phys Lett 2004;385:220 - 4. [7] Wilk SJ, Goryll M, Laws GM, Goodnick SM, Thornton TJ, Saraniti [25] Mayer M, Kriebel JK, Tosteson MT, Whitesides GM. Microfabricated M, et al. Teflon (TM)-coated silicon apertures for supported lipid Teflon membranes for low-noise recordings of ion channels in planar bilayer membranes. Appl Phys Lett 2004;85:3307 - 9. bilayers. Biophys J 2003;85:2684 - 95.