SlideShare une entreprise Scribd logo
1  sur  4
Télécharger pour lire hors ligne
An ECG-SoC with 535nW/Channel Lossless
Data Compression for Wearable Sensors
C.J. Deepu, X. Zhang, W.-S. Liew, D.L.T. Wong, and Y. Lian
Department of Electrical & Computer Engineering, National University of Singapore
eleliany@nus.edu.sg
Abstract— This paper presents a low power ECG recording System-on-Chip (SoC) with on-chip low complexity lossless ECG
compression for data reduction in wireless/ambulatory ECG
sensor devices. The proposed algorithm uses a linear slope predictor to estimate the ECG samples, and uses a novel low complexity dynamic coding-packaging scheme to frame the resulting
estimation error into fixed-length 16-bit format. The proposed
technique achieves an average compression ratio of 2.25x on
MIT/BIH ECG database. Implemented in 0.35μm process, the
compressor uses 0.565K gates/channel occupying 0.4 mm2 for 4channel, and consumes 535nW/channel at 2.4V for ECG sampled at 512 Hz. Small size and ultra-low power consumption
makes the proposed technique suitable for wearable ECG sensor application.

I.

INTRODUCTION1

Cardiovascular disease (CVD) is the leading cause of death
around the world and consumes significant amount of
healthcare resources. An aging population, increasing life expectancies in developed countries are expected to aggravate
this issue much further in the coming years. The effective way
of managing CVD is to prevent it from happening by using
low cost wearable wireless ECG (electrocardiogram) sensor.
The main challenge in the development of a low cost wearable ECG sensor is the design of an ultra-low power ECG chip,
which can acquire, process and wirelessly transmit ECG signal to a personal gateway, as shown in Fig. 1. A high level of
integration, with inbuilt signal acquisition, data conversion
[1], helps reduce the size and cost of such a sensor. In a wireless sensor, the single largest source of power consumption is
the wireless transceiver. Due to round the clock operation, a
large amount of ECG data has to be either locally stored on
the device in a flash memory or transmitted wirelessly to a
personal gateway, resulting in large memory and energy requirements at the sensor. In some cases an on-chip SRAM is
used to facilitate the burst mode transmission resulting large
chip area[2], which increases the cost of the device. Data
compression offers several attractive features for such wearable sensors. It helps to reduce the size of on chip SRAM or
Flash and minimize the power consumption of wireless transceiver. Although lossy compression techniques provides
higher compression ratios[3], it may not be an ideal candidate
for ECG signal due to the loss of information. Furthermore,
lossy techniques are yet to be approved by medical regulatory
bodies in many countries and can’t be used in commercial
devices due to liability concerns. So we prefer lossless compression for wearable ECG sensor. Most of the existing lossless ECG compression techniques are predominantly focused
on achieving higher compression ratio (CR).

ECG-on-Chip
Amplifier +
ADC

Bluetooth
Transceiver + μC

Data
Compression

Bluetooth
Transceiver + μC
Decompression
& Signal Analysis

NAND
Flash

GUI
Personal Gateway

Fig.1 Wireless ECG Monitoring System

However in the context of wireless sensors and ambulatory
devices, ultra-low power operation, low complexity in implementation are very critical. This is to make sure that the energy and memory savings from the compression is higher
than what is consumed by the compressor itself.
In this paper, an ECG SoC featuring a low complexity
lossless compression technique is presented. The proposed algorithm uses a slope predictor to estimate ECG samples, and
uses a novel low complexity dynamic packaging scheme to
frame the resulting estimation error into fixed-length format.
The paper is organized as follows. In Section 2, the system
architecture is presented. The compression scheme and performance evaluation are given in Section III. Section IV describes the hardware implementation. Measurement results
are shown in Section V. Conclusions are drawn in Section VI.
II.

SYSTEM ARCHITECTURE OF ECG SOC CHIP

The system block diagram of the proposed ECG SoC is
shown in Fig. 2. The frontend consists of 4 recording channels, a multiplexer (MUX) and a 12-bit successive approximation (SAR) ADC. The backend includes a lossless compression block, a real-time clock (RTC) module, and a SPI
interface. To improve the ECG signal quality and reduce 50or 60-Hz power-line noise, a driven-right-leg (DRL) is included. Also a low-power 32.768 kHz crystal oscillator driver
and bandgap reference are implemented. The whole chip is
designed to work under 2.4 ~ 3.0 V power supply.

Fig. 2 System architecture of the ECG SoC
1

This work was supported in part by the National Research Foundation
Competitive Research Programme under Grant NRF-CRP8-2011-01 and
NUS Faculty Strategic Funding under Grant R-263-000-A02-731.
proposed scheme, only prediction error needs to be transmitted instead of original ECG samples. At the receiver side, the
reverse process is done to reconstruct the original data samples as shown in Fig. 4.
ECG Signal

ECG Amplitude

300
200
100
0
-100
-200
3,000

3,500

4,000
4,500
5,000
Time(sample index)

5,500

6,000

5,500

6,000

Prediction Error

Prediction Error Amplitude

The architecture for the analog front-end amplifiers is
shown in Fig. 3, which includes an instrumental amplifier
(IA), a programmable gain amplifier (PGA), and a rail-to-rail
output buffer (BUF). The capacitively coupled IA blocks the
DC offsets and improves the signal dynamic range. The overall ECG gain is dynamically tunable through the PGA’s
switches T<1:0>. For antialiasing purpose, the low-pass cutoff frequency can be adjusted within 35 ~ 175 Hz, by changing the PGA’s miller compensation capacitors. The unitygain buffer improves the settling time for the MUX’s output
signal, reducing the residual errors [1]. Pseudo resistors used
in IA and PGA provide to up GΩ resistance. This ensures the
front-end high-pass frequency is less than 0.5 Hz, and the total harmonic distortion (THD) at full-scale output is less than
0.1%.

20
0
-20
3,000

3,500

4,000
4,500
5,000
Time(sample index)

Fig.5 Prediction Error.

Fig.3 Architecture of a single ECG amplifier channel

III.
Signal

x(n)

LOSSLESS DATA COMPRESSION SCHEME
Slope Based
Linear Predictor
Un
Compressed

x(n)

e(n)

Prediction
Error coding
e(n)

Compressed
Data

Dynamic Fixed
Length Packaging

Unpack Data

Slope Based
Linear Predictor

Fig.4 Lossless compression-decompression scheme.

The block diagram of the proposed compression – decompression scheme is illustrated in Fig. 4. We use a slope
based predictor to estimate the value of the current ECG
(x(n)) sample based on previous 2 samples (x(n-1), x(n-2)),
i.e. we compute the current sample value using the same signal slope obtained from previous 2 samples. This predicted
value is subtracted from the actual value to obtain the prediction error of the current sample, The estimation for slope predictor and prediction error are given by (1), (2).

where
is prediction estimate, e(n) is the prediction error.
The dynamic range of e(n) is generally much smaller than that
of the ECG signal as shown in Fig. 5. Noted that, for achieving lossless compression, it needs maximum (L+2) bits to
fully represent e(n), where L is the bit-width of x(n). With the

To reduce the bit-width of e(n), a coding scheme can be
used without incurring any data loss. Variable length coding
schemes like Huffman and Arithmetic coding[4] are commonly used, which produces prefix-free codes[5] that can be
packed closely. These approaches, although produce more or
less optimal bit representations, the complexity of encoder/decoder is quite high[4][6]. For example, Huffman
coding, associates the most frequently occurring symbols
with short codewords and the less frequently occurring symbols with long codewords. This symbol-codeword association table has to be pre-constructed using a statistical dataset
and the implementation of this table would require a large on
chip memory[6], which ultimately would negate the effect of
SRAM area savings from using compression. A suboptimal
approach[5], selective Huffman coding, encodes only m frequently used symbols with Huffman codes and retains the remaining data un-encoded at the expense of a decrease in compression ratio. The hardware complexity of [5] is lower compared to the statistical approach. However it still need an m
symbol lookup table at the encoder as well as decoder. In addition, these coding schemes produce, variable length codes
at the output, that need further packaging to make it fit to
fixed length packets, that can be stored in fixed word length
SRAM/Flash or interfaced through a standard I/O like SPI.
This re-packaging usually needs a complex hardware like the
one proposed in [7].
We propose a simple coding-packaging scheme which
combines coding and data packaging in one step. It has very
low hardware complexity and achieves small area and low
power while produces a fixed length 16-bit output. The
flowchart of the scheme is presented in Fig. 6, where 2’s complement representation (e_2c(n)) of the error signal is used.
As shown in Fig. 5, most error samples center around zero
and hence can be represented by a few bits. Therefore we only
select the necessary LSB’s and remove any MSBs that
doesn’t carry information. However these data can’t be
packed closely, since it doesn’t have the prefix-free nature of
Huffman codes. For this, a data framing structure, as shown
in Table I, with unique header for different frame types is
used to pack the error samples of varying widths to a fixed
length 16b output.
Receive 2's C coded prediction
error sample, e_2c(n)
No

Is the 6-Word local
memory full?

Yes

Append Header
“0000” and use Frame
format D (Table 1)

-2 ≤ e_2c(n-5≤ i ≤n) ≤1

Yes

IV.
No

Append Header
“0001” and use Frame
format C (Table 1)

-4 ≤ e_2c(n-3≤ i ≤n) ≤3

Yes

No

Append Header “1”
and use Frame
format A (Table 1)

-16 ≤ e_2c(n-2≤ i ≤n) ≤15

Yes

No

Append Header “01”
and use Frame
format B (Table 1)

-64 ≤ e_2c(n-1≤ i ≤n) ≤63

Yes

Append Header
“0011” and use Frame
format E (Table 1)

No

Fig 6. Coding-packaging Scheme Flowchart.

A

TABLE I
DATA PACKAGING SCHEME FOR 2’S CODED PREDICTION ERROR SYMBOLS.
1
5/4 bits
5/4 bits
5/4 bits

B

0

1

C

0

0

0

1

D

0

0

0

0

E

0

0

1

7/6 bits

1

7/6 bits

3 bits

3 bits

2 bits

2 bit

3 bits

2 bits

2 bits

3 bits
2 bits

2 bits

12 bits
16 bits

Frame Type

Header

Data
TABLE II

COMPRESSION PERFORMANCE OF THE PROPOSED ALGORITHM USING THE MIT/BIH DATABASE

Average CR
Maximum CR

Slope Predictor
+Ideal Huffman
2.66
2.94

frame format can accommodate from Table I. If not, the algorithm proceeds with the next best framing option.
The proposed algorithm is evaluated using the MIT/BIH
recordings to obtain compression performance, as shown in
Table II. The proposed coding scheme achieves 4% better
performance than that of selective Huffman while produces
fixed length coding. Its compression ratio is around 15%
lower than ideal Huffman coding, but at significant less hardware cost as shown in next Section.

Slope Predictor +Selective Huffman
2.16
2.32

Slope Predictor
+Proposed Scheme
2.25
2.447

The dynamic data packaging scheme, in Fig. 6, uses a
simple priority encoding technique to frame fixed length data
from samples of multiple bit widths. As and when the error
data is received, the algorithm checks whether the maximum
amplitude of a signal group exceeds the value that particular

HW ARCHITECTURE FOR PROPOSED COMPRESSOR

An overview of the hardware architecture for a 4-channel
compressor is shown in Fig 7. It takes 12-bit, 512 S/s multiplexed 4-channel data from ADC output, and performances
de-multiplexing before processing the data. The data from
each channel is identified by a 2-bit channel select header appended to the ECG sample by the ADC. Each of the data
stream is fed into a separate slope predictor for computing the
prediction error. The individual predictor is activated with the
channel select signal to reduce the effective data switching.
The predictor data registers are set to zeros during initialization. These initial values are treated as the first 2 data samples
when the system starts. Since the first 2 samples of the ECG
stream are assumed to be zeros after initialization, there is no
need to explicitly send the initial 2 samples for starting decompression. At the beginning, the minimum bit-width required for representing each prediction error in 2’s complement format is computed. Since the packaging format shown
in Table I only allows 5 types of data packets (2b, 3b, 5b, 7b
and >8b), only these bit-widths are computed. The bit-width
computation logic is shown in Fig. 8. Further the data is packaged into fixed 16 bit samples with bit packaging logic shown
in Fig. 9. The error bit-width, along with corresponding error
value, and actual samples are loaded serially into a 6-word
register (Fig. 9). When the register is full, the packaging controller FSM, will pack the data from the register into a single
16-bit frame based on the inputs from Frame Enable logic.
The order of priority is Frame type D, C, A, B (Table 1). The
Frame enable logic for Frame type D is shown in Fig. 9.
In order to prevent total loss of data due to a few accidental packet loss, the proposed scheme allows to transmit
full frames (Type E) at a predetermined interval. In our experiment, a 4s interval works well in office environment using Bluetooth. An SPI slave interface is used for interfacing
the block with programmable MCU.

x(n-1) x(n-2)

Ch1

Ch2
CH1

CH2

CH3

CH4

ChSEL_x(n-1)

ChSEL_x(n-2)

Ch3

Programmabl e
Restart

2
BitW idth
Compute

e1 e2 e3 e4

4

13

12
Ch4

SPI Interface,
Buffer,
Registers

Compressed
4 channel data
Data Framing
Controller

Fig 7. Hardware Architecture of the Lossless compression block for a 4 Channel ECG on Chip.

SPI I/F
Bitwid th Compu te
e(n)[12:x]
e(n)[12:0]

e(n)[12:1] BW Compu te BW2
2 bit

(b)
e(n)[12:2]

BW Compute BW3
3 bit

e(n)[12:4]

BW Compu te BW5
5 bit

e(n)[12:7]

Priority
Encoder

Bit
Width

BW Compu te BW7
7 bit

(c)

(a)

Encoding Table
BW2,BW3,
BW5,BW7

BitW idth

1,x,x,x
0,1,x,x
0,0,1,x
0,0,0,1
0,0,0,0

2
3
5
7
8 and above

Fig 8. (a)Bit width block (b) Computation logic (c) Encoding Table

Original
Data
samples

BW1

2

BW2
BW3
BW4
BW5
BW6

e`(n)
x`(n)

Error
samples

2

2

2
FSM
Controller

x`(n-1)
x`(n-2)
x`(n-3)
x`(n-4)
x`(n-5)

4

e`(n-1)
e`(n-2)
e`(n-3)
e`(n-4)
e`(n-5)

Frame B
Enable
Frame A
Enable
Frame C
Enable
Frame D
Enable

2
2

≤
≤
≤
≤
≤
≤

Counter

Fig 9. (a) Bit packaging block (b) Frame Enable for Type D frame

Fig.10 Chip micro photo and the prototype device.

represented by at least 13 bits. Else any prediction errors
above 9 bits will be lost. Based on our study, we obtained
2.15x compression with selective Huffman coding scheme
with a full bit-width representation for uncoded signals, as
shown in Table II. Also the output from [8] has to be further
packaged into fixed length for practical use. Overall the proposed design gives the lowest power and gate count for compression without compromising on data integrity.
TABLE III
CHIP PERFORMANCE SUMMARY

Supply Voltage
Technology
High-Pass Frequency
Low-Pass Frequency
Pass-Band Gain
Input-Referred Noise (0.05 ~ 250 Hz)
Common-Mode Rejection Ratio (CMRR)
Power Supply Rejection Ratio (PSRR)
Total Harmonic Distortion (THD)
Sampling Frequency
Total Front-End Current
Total Back-End Current
Effective Number of Bits (ENOB)

2.4~ 3.0 V
0.35 μm CMOS
0.0075 Hz
35 ~ 175 Hz
47 ~ 66 dB
1.46 μVrms
65 dB
76 dB
-64 dBFS
256/512 Hz
12.5 μA
0.89 μA
9.3

TABLE IV
COMPARISON OF COMPRESSOR WITH OTHER DESIGNS
Ericson et
S.L.Chen[8],
This design
al[4],2011
2013
Process
65nm
0.18μm
0.35μm
Vdd
1.0V
1.8 V
2.4~3V
CR
2.38
2.43*
2.25
No of Channels
1
1
4
Total Gatecount
53.9K
3.57K
2.26K
Gatecount/Chan53.9K
3.57K
0.56K
nel
Total Power
170μW
36.4μW
2.14μW
Power/Channel
170μW
36.4μW
535nW
Area(mm2)
0.058
0.046
0.4

VI. CONCLUSION

Fig.11 Before and after decompression.

V.

MEASUREMENT RESULTS AND COMPARISON

The design is implemented in a 0.35um process and the
front-end measurement results are shown in Table III. The input referred noise from 0.05 Hz to 150 Hz is less than 1.5
μVrms. The high-pass corner is less than 0.01 Hz, and the
full-scale 3V THD is only 0.08 %. The total front-end current,
including all four channels, ADC, DRL, bandgap, and crystal
oscillator circuit, is only 12.5 μA. The backend consumes
0.89 μA and has a core area of 0.2 × 2.0 mm2. Fig. 10 shows
the die photo and the evaluation prototype. The total chip area
is 2.94 × 2.15 mm2. Fig. 11 gives an example of the beforeand after-compression ECG signal. No losses are observed
from decompressed ECG signal.
Comparison of the proposed compressor with other recent published designs are given in Table IV. This design
achieves the lowest power and complexity with a negligible
reduction in compression ratio in chip measurements. [4] has
better compression ratio, but consumes much higher power
due to the implementation of Golomb coding scheme. [8]
uses selective Huffman coding scheme and consumes much
higher power. Note that [8] uses only 9 bit to represent prediction error, e(n), for a 11 bit input data, when the data is left
uncoded. For a fully lossless representation, e(n) has to be

This paper presents a low power ECG SoC with lossless
data compression for wearable devices. The compressor
achieves an average CR of 2.25x. The design consumes
535nW/channel and has a core area of 0.4mm2 in 0.35μm process. In comparison with other published methods, the proposed algorithm and hardware implementation demonstrates
a low power consumption and is therefore suitable for wearable wireless devices.
REFERENCES
[1] X. Zou, X. Xu, L. Yao, and Y. Lian, “A 1-V 450-nW Fully Integrated
Programmable Biomedical Sensor Interface Chip,” Solid-State Circuits, IEEE
Journal of, vol. 44, no. 4. pp. 1067–1077, 2009.
[2] C. J. Deepu, X. Xu, X. Zou, L. Yao, and Y. Lian, “An ECG-on-Chip for Wearable
Cardiac Monitoring Devices,” 2010 Fifth IEEE International Symposium on
Electronic Design, Test & Applications, pp. 225–228, 2010.
[3] R. F. Yazicioglu, S. Kim, T. Torfs, H. Kim, and C. Van Hoof, “A 30 uW Analog
Signal Processor ASIC for Portable Biopotential Signal Monitoring,” Solid-State
Circuits, IEEE Journal of, vol. 46, no. 1. pp. 209–223, 2011.
[4] E. Chua and W. Fang, “Mixed bio-signal lossless data compressor for portable
brain-heart monitoring systems,” IEEE Transactions on Consumer Electronics,
vol. 57, no. 1, pp. 267–273, 2011.
[5] A. Jas, J. Ghosh-Dastidar, M.-E. Ng, and N. A. Touba, “An efficient test vector
compression scheme using selective Huffman coding,” Computer-Aided Design of
Integrated Circuits and Systems, IEEE Transactions on, vol. 22, no. 6. pp. 797–
806, 2003.
[6] S. Rigler, W. Bishop, and A. Kennings, “FPGA-Based Lossless Data Compression
using Huffman and LZ77 Algorithms,” 2007 Canadian Conference on Electrical
and Computer Engineering, pp. 1235–1238, 2007.
[7] R.A.Becker and T.Acharya, “US Patent US6653953 B2; Varaiable Length Coding
Packing Architecture ; Intel Corporation,” 2003.
[8] S.-L. Chen and J.-G. Wang, “VLSI implementation of low-power cost-efficient
lossless ECG encoder design for wireless healthcare monitoring application,”
Electronics Letters, vol. 49, no. 2, pp. 91–93, Jan. 2013.

Contenu connexe

Tendances

HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERSLalitha Gosukonda
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project reportBharat Biyani
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch PredictorsBharat Biyani
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Parallel implementation of pulse compression method on a multi-core digital ...
Parallel implementation of pulse compression method on  a multi-core digital ...Parallel implementation of pulse compression method on  a multi-core digital ...
Parallel implementation of pulse compression method on a multi-core digital ...IJECEIAES
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select addereSAT Publishing House
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...Hardware/software co-design for a parallel three-dimensional bresenham’s algo...
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...IJECEIAES
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierIJERA Editor
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...ijasuc
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONSDESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONSjmicro
 
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...IRJET Journal
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS jmicro
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS jmicro
 

Tendances (20)

HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERSHIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR  TCM DECODERS
HIGH-SPEED LOW-POWER VITERBI DECODER DESIGN FOR TCM DECODERS
 
SFQ MULTIPLIER
SFQ MULTIPLIERSFQ MULTIPLIER
SFQ MULTIPLIER
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
Evaluation of Branch Predictors
Evaluation of Branch PredictorsEvaluation of Branch Predictors
Evaluation of Branch Predictors
 
05725150
0572515005725150
05725150
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Cq4301536541
Cq4301536541Cq4301536541
Cq4301536541
 
Parallel implementation of pulse compression method on a multi-core digital ...
Parallel implementation of pulse compression method on  a multi-core digital ...Parallel implementation of pulse compression method on  a multi-core digital ...
Parallel implementation of pulse compression method on a multi-core digital ...
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...Hardware/software co-design for a parallel three-dimensional bresenham’s algo...
Hardware/software co-design for a parallel three-dimensional bresenham’s algo...
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
 
Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...Interplay of Communication and Computation Energy Consumption for Low Power S...
Interplay of Communication and Computation Energy Consumption for Low Power S...
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONSDESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
 
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...
An Enhanced Performance Pipelined Bus Invert Coding For Power Optimization Of...
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
DESIGN OF 2.4 GHZ MMIC FEED FORWARD AMPLIFIER FOR WIRELESS APPLICATIONS
 

En vedette

A Simple and Robust Algorithm for the Detection of QRS Complexes
A Simple and Robust Algorithm for the Detection of QRS ComplexesA Simple and Robust Algorithm for the Detection of QRS Complexes
A Simple and Robust Algorithm for the Detection of QRS ComplexesIJRES Journal
 
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...ecgpapers
 
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECG
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECGAnalyzing of an ECG Signal Mathematically By Generating Synthetic-ECG
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECGtheijes
 
Efficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformEfficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformeSAT Journals
 
A Joint QRS Detection and Data Compression Scheme for Wearable Sensors
A Joint QRS Detection and Data Compression Scheme for Wearable SensorsA Joint QRS Detection and Data Compression Scheme for Wearable Sensors
A Joint QRS Detection and Data Compression Scheme for Wearable Sensorsecgpapers
 
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITION
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITIONECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITION
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITIONSarang Joshi
 
ECG Signal Analysis for Myocardial Infarction Detection
ECG Signal Analysis for Myocardial Infarction DetectionECG Signal Analysis for Myocardial Infarction Detection
ECG Signal Analysis for Myocardial Infarction DetectionUzair Akbar
 
A Computationally Efficient QRS Detection Algorithm for Wearable ECG Sensors
A Computationally Efficient QRS Detection  Algorithm for Wearable ECG Sensors A Computationally Efficient QRS Detection  Algorithm for Wearable ECG Sensors
A Computationally Efficient QRS Detection Algorithm for Wearable ECG Sensors ecgpapers
 
Writing Fast MATLAB Code
Writing Fast MATLAB CodeWriting Fast MATLAB Code
Writing Fast MATLAB CodeJia-Bin Huang
 
matlab code for channel estimation for ofdm
matlab code for channel estimation for ofdmmatlab code for channel estimation for ofdm
matlab code for channel estimation for ofdmGyana Ranjan Mati
 
Digital Signal Processing Lab Manual ECE students
Digital Signal Processing Lab Manual ECE studentsDigital Signal Processing Lab Manual ECE students
Digital Signal Processing Lab Manual ECE studentsUR11EC098
 
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORM
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORMDENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORM
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORMIJEEE
 
Introduction to Matlab
Introduction to MatlabIntroduction to Matlab
Introduction to MatlabAmr Rashed
 
MATLAB Programs For Beginners. | Abhi Sharma
MATLAB Programs For Beginners. | Abhi SharmaMATLAB Programs For Beginners. | Abhi Sharma
MATLAB Programs For Beginners. | Abhi SharmaAbee Sharma
 

En vedette (20)

M010417478
M010417478M010417478
M010417478
 
A Simple and Robust Algorithm for the Detection of QRS Complexes
A Simple and Robust Algorithm for the Detection of QRS ComplexesA Simple and Robust Algorithm for the Detection of QRS Complexes
A Simple and Robust Algorithm for the Detection of QRS Complexes
 
A novel adaptive algorithm for removal of power line interference from ecg si...
A novel adaptive algorithm for removal of power line interference from ecg si...A novel adaptive algorithm for removal of power line interference from ecg si...
A novel adaptive algorithm for removal of power line interference from ecg si...
 
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...
 
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECG
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECGAnalyzing of an ECG Signal Mathematically By Generating Synthetic-ECG
Analyzing of an ECG Signal Mathematically By Generating Synthetic-ECG
 
Efficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformEfficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transform
 
A Joint QRS Detection and Data Compression Scheme for Wearable Sensors
A Joint QRS Detection and Data Compression Scheme for Wearable SensorsA Joint QRS Detection and Data Compression Scheme for Wearable Sensors
A Joint QRS Detection and Data Compression Scheme for Wearable Sensors
 
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITION
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITIONECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITION
ECG SIGNAL DENOISING USING EMPIRICAL MODE DECOMPOSITION
 
ECG QRS Complex Detector
ECG QRS Complex DetectorECG QRS Complex Detector
ECG QRS Complex Detector
 
ECG Signal Analysis for Myocardial Infarction Detection
ECG Signal Analysis for Myocardial Infarction DetectionECG Signal Analysis for Myocardial Infarction Detection
ECG Signal Analysis for Myocardial Infarction Detection
 
A Computationally Efficient QRS Detection Algorithm for Wearable ECG Sensors
A Computationally Efficient QRS Detection  Algorithm for Wearable ECG Sensors A Computationally Efficient QRS Detection  Algorithm for Wearable ECG Sensors
A Computationally Efficient QRS Detection Algorithm for Wearable ECG Sensors
 
QRS signal ECG detection
QRS signal ECG detectionQRS signal ECG detection
QRS signal ECG detection
 
Ecg
EcgEcg
Ecg
 
Writing Fast MATLAB Code
Writing Fast MATLAB CodeWriting Fast MATLAB Code
Writing Fast MATLAB Code
 
Matlab code
Matlab codeMatlab code
Matlab code
 
matlab code for channel estimation for ofdm
matlab code for channel estimation for ofdmmatlab code for channel estimation for ofdm
matlab code for channel estimation for ofdm
 
Digital Signal Processing Lab Manual ECE students
Digital Signal Processing Lab Manual ECE studentsDigital Signal Processing Lab Manual ECE students
Digital Signal Processing Lab Manual ECE students
 
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORM
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORMDENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORM
DENOISING OF ECG SIGNAL USING FILTERS AND WAVELET TRANSFORM
 
Introduction to Matlab
Introduction to MatlabIntroduction to Matlab
Introduction to Matlab
 
MATLAB Programs For Beginners. | Abhi Sharma
MATLAB Programs For Beginners. | Abhi SharmaMATLAB Programs For Beginners. | Abhi Sharma
MATLAB Programs For Beginners. | Abhi Sharma
 

Similaire à Low Power ECG SoC with Lossless Data Compression

AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYAN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYBHAVANA KONERU
 
An ECG-on-Chip for Wearable Cardiac Monitoring Devices
An ECG-on-Chip for Wearable Cardiac Monitoring Devices An ECG-on-Chip for Wearable Cardiac Monitoring Devices
An ECG-on-Chip for Wearable Cardiac Monitoring Devices ecgpapers
 
ECG compression in vlsi
ECG compression in vlsiECG compression in vlsi
ECG compression in vlsiMohanG59
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...eSAT Publishing House
 
A Wireless ECG Plaster for Real-Time Cardiac Health Monitoring in Body Senso...
A Wireless ECG Plaster for Real-Time Cardiac  Health Monitoring in Body Senso...A Wireless ECG Plaster for Real-Time Cardiac  Health Monitoring in Body Senso...
A Wireless ECG Plaster for Real-Time Cardiac Health Monitoring in Body Senso...ecgpapers
 
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueLossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueIRJET Journal
 
An efficient technique for out-of-band power reduction for the eliminated CP...
An efficient technique for out-of-band power reduction for  the eliminated CP...An efficient technique for out-of-band power reduction for  the eliminated CP...
An efficient technique for out-of-band power reduction for the eliminated CP...IJECEIAES
 
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...Non-Invasive point of care ECG signal detection and analytics for cardiac dis...
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...gptshubham
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...IRJET Journal
 
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End Kit
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End KitStudy and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End Kit
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End KitIRJET Journal
 
A study of throughput for iu cs and iu-ps interface in umts core network
A study of throughput for iu cs and iu-ps interface in umts core networkA study of throughput for iu cs and iu-ps interface in umts core network
A study of throughput for iu cs and iu-ps interface in umts core networkPfedya
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...IJCSEA Journal
 
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...IJECEIAES
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...IJCSEA Journal
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...IJCSEA Journal
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...IJCSEA Journal
 
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...CSCJournals
 
Efficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformEfficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformeSAT Publishing House
 

Similaire à Low Power ECG SoC with Lossless Data Compression (20)

ARRHYTHMIA.pptx
ARRHYTHMIA.pptxARRHYTHMIA.pptx
ARRHYTHMIA.pptx
 
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYAN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
 
An ECG-on-Chip for Wearable Cardiac Monitoring Devices
An ECG-on-Chip for Wearable Cardiac Monitoring Devices An ECG-on-Chip for Wearable Cardiac Monitoring Devices
An ECG-on-Chip for Wearable Cardiac Monitoring Devices
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
ECG compression in vlsi
ECG compression in vlsiECG compression in vlsi
ECG compression in vlsi
 
Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...Implementation of a bit error rate tester of a wireless communication system ...
Implementation of a bit error rate tester of a wireless communication system ...
 
A Wireless ECG Plaster for Real-Time Cardiac Health Monitoring in Body Senso...
A Wireless ECG Plaster for Real-Time Cardiac  Health Monitoring in Body Senso...A Wireless ECG Plaster for Real-Time Cardiac  Health Monitoring in Body Senso...
A Wireless ECG Plaster for Real-Time Cardiac Health Monitoring in Body Senso...
 
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueLossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
 
An efficient technique for out-of-band power reduction for the eliminated CP...
An efficient technique for out-of-band power reduction for  the eliminated CP...An efficient technique for out-of-band power reduction for  the eliminated CP...
An efficient technique for out-of-band power reduction for the eliminated CP...
 
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...Non-Invasive point of care ECG signal detection and analytics for cardiac dis...
Non-Invasive point of care ECG signal detection and analytics for cardiac dis...
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
 
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End Kit
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End KitStudy and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End Kit
Study and Analysis of ECG Signal using ADS1298RECG-FE Analog Front End Kit
 
A study of throughput for iu cs and iu-ps interface in umts core network
A study of throughput for iu cs and iu-ps interface in umts core networkA study of throughput for iu cs and iu-ps interface in umts core network
A study of throughput for iu cs and iu-ps interface in umts core network
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
 
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...
A Two Channel Analog Front end Design AFE Design with Continuous Time ∑-∆ Mod...
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
 
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
NON-STATISTICAL EUCLIDEAN-DISTANCE SISO DECODING OF ERROR-CORRECTING CODES OV...
 
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...
Performance Evaluation of Percent Root Mean Square Difference for ECG Signals...
 
Efficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transformEfficient data compression of ecg signal using discrete wavelet transform
Efficient data compression of ecg signal using discrete wavelet transform
 

Dernier

Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Mark Goldstein
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch TuesdayIvanti
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentPim van der Noll
 
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Alkin Tezuysal
 
Generative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfGenerative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfIngrid Airi González
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demoHarshalMandlekar2
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesKari Kakkonen
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Strongerpanagenda
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsRavi Sanghani
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfpanagenda
 
What is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfWhat is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfMounikaPolabathina
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality AssuranceInflectra
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...panagenda
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfNeo4j
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Farhan Tariq
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesThousandEyes
 
Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rick Flair
 
Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Hiroshi SHIBATA
 

Dernier (20)

Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
Arizona Broadband Policy Past, Present, and Future Presentation 3/25/24
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch Tuesday
 
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
 
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
Unleashing Real-time Insights with ClickHouse_ Navigating the Landscape in 20...
 
Generative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdfGenerative Artificial Intelligence: How generative AI works.pdf
Generative Artificial Intelligence: How generative AI works.pdf
 
Sample pptx for embedding into website for demo
Sample pptx for embedding into website for demoSample pptx for embedding into website for demo
Sample pptx for embedding into website for demo
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examples
 
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better StrongerModern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
Modern Roaming for Notes and Nomad – Cheaper Faster Better Stronger
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and Insights
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
 
What is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdfWhat is DBT - The Ultimate Data Build Tool.pdf
What is DBT - The Ultimate Data Build Tool.pdf
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
 
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
Why device, WIFI, and ISP insights are crucial to supporting remote Microsoft...
 
Connecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdfConnecting the Dots for Information Discovery.pdf
Connecting the Dots for Information Discovery.pdf
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
 
Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...
 
Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024Long journey of Ruby standard library at RubyConf AU 2024
Long journey of Ruby standard library at RubyConf AU 2024
 

Low Power ECG SoC with Lossless Data Compression

  • 1. An ECG-SoC with 535nW/Channel Lossless Data Compression for Wearable Sensors C.J. Deepu, X. Zhang, W.-S. Liew, D.L.T. Wong, and Y. Lian Department of Electrical & Computer Engineering, National University of Singapore eleliany@nus.edu.sg Abstract— This paper presents a low power ECG recording System-on-Chip (SoC) with on-chip low complexity lossless ECG compression for data reduction in wireless/ambulatory ECG sensor devices. The proposed algorithm uses a linear slope predictor to estimate the ECG samples, and uses a novel low complexity dynamic coding-packaging scheme to frame the resulting estimation error into fixed-length 16-bit format. The proposed technique achieves an average compression ratio of 2.25x on MIT/BIH ECG database. Implemented in 0.35μm process, the compressor uses 0.565K gates/channel occupying 0.4 mm2 for 4channel, and consumes 535nW/channel at 2.4V for ECG sampled at 512 Hz. Small size and ultra-low power consumption makes the proposed technique suitable for wearable ECG sensor application. I. INTRODUCTION1 Cardiovascular disease (CVD) is the leading cause of death around the world and consumes significant amount of healthcare resources. An aging population, increasing life expectancies in developed countries are expected to aggravate this issue much further in the coming years. The effective way of managing CVD is to prevent it from happening by using low cost wearable wireless ECG (electrocardiogram) sensor. The main challenge in the development of a low cost wearable ECG sensor is the design of an ultra-low power ECG chip, which can acquire, process and wirelessly transmit ECG signal to a personal gateway, as shown in Fig. 1. A high level of integration, with inbuilt signal acquisition, data conversion [1], helps reduce the size and cost of such a sensor. In a wireless sensor, the single largest source of power consumption is the wireless transceiver. Due to round the clock operation, a large amount of ECG data has to be either locally stored on the device in a flash memory or transmitted wirelessly to a personal gateway, resulting in large memory and energy requirements at the sensor. In some cases an on-chip SRAM is used to facilitate the burst mode transmission resulting large chip area[2], which increases the cost of the device. Data compression offers several attractive features for such wearable sensors. It helps to reduce the size of on chip SRAM or Flash and minimize the power consumption of wireless transceiver. Although lossy compression techniques provides higher compression ratios[3], it may not be an ideal candidate for ECG signal due to the loss of information. Furthermore, lossy techniques are yet to be approved by medical regulatory bodies in many countries and can’t be used in commercial devices due to liability concerns. So we prefer lossless compression for wearable ECG sensor. Most of the existing lossless ECG compression techniques are predominantly focused on achieving higher compression ratio (CR). ECG-on-Chip Amplifier + ADC Bluetooth Transceiver + μC Data Compression Bluetooth Transceiver + μC Decompression & Signal Analysis NAND Flash GUI Personal Gateway Fig.1 Wireless ECG Monitoring System However in the context of wireless sensors and ambulatory devices, ultra-low power operation, low complexity in implementation are very critical. This is to make sure that the energy and memory savings from the compression is higher than what is consumed by the compressor itself. In this paper, an ECG SoC featuring a low complexity lossless compression technique is presented. The proposed algorithm uses a slope predictor to estimate ECG samples, and uses a novel low complexity dynamic packaging scheme to frame the resulting estimation error into fixed-length format. The paper is organized as follows. In Section 2, the system architecture is presented. The compression scheme and performance evaluation are given in Section III. Section IV describes the hardware implementation. Measurement results are shown in Section V. Conclusions are drawn in Section VI. II. SYSTEM ARCHITECTURE OF ECG SOC CHIP The system block diagram of the proposed ECG SoC is shown in Fig. 2. The frontend consists of 4 recording channels, a multiplexer (MUX) and a 12-bit successive approximation (SAR) ADC. The backend includes a lossless compression block, a real-time clock (RTC) module, and a SPI interface. To improve the ECG signal quality and reduce 50or 60-Hz power-line noise, a driven-right-leg (DRL) is included. Also a low-power 32.768 kHz crystal oscillator driver and bandgap reference are implemented. The whole chip is designed to work under 2.4 ~ 3.0 V power supply. Fig. 2 System architecture of the ECG SoC 1 This work was supported in part by the National Research Foundation Competitive Research Programme under Grant NRF-CRP8-2011-01 and NUS Faculty Strategic Funding under Grant R-263-000-A02-731.
  • 2. proposed scheme, only prediction error needs to be transmitted instead of original ECG samples. At the receiver side, the reverse process is done to reconstruct the original data samples as shown in Fig. 4. ECG Signal ECG Amplitude 300 200 100 0 -100 -200 3,000 3,500 4,000 4,500 5,000 Time(sample index) 5,500 6,000 5,500 6,000 Prediction Error Prediction Error Amplitude The architecture for the analog front-end amplifiers is shown in Fig. 3, which includes an instrumental amplifier (IA), a programmable gain amplifier (PGA), and a rail-to-rail output buffer (BUF). The capacitively coupled IA blocks the DC offsets and improves the signal dynamic range. The overall ECG gain is dynamically tunable through the PGA’s switches T<1:0>. For antialiasing purpose, the low-pass cutoff frequency can be adjusted within 35 ~ 175 Hz, by changing the PGA’s miller compensation capacitors. The unitygain buffer improves the settling time for the MUX’s output signal, reducing the residual errors [1]. Pseudo resistors used in IA and PGA provide to up GΩ resistance. This ensures the front-end high-pass frequency is less than 0.5 Hz, and the total harmonic distortion (THD) at full-scale output is less than 0.1%. 20 0 -20 3,000 3,500 4,000 4,500 5,000 Time(sample index) Fig.5 Prediction Error. Fig.3 Architecture of a single ECG amplifier channel III. Signal x(n) LOSSLESS DATA COMPRESSION SCHEME Slope Based Linear Predictor Un Compressed x(n) e(n) Prediction Error coding e(n) Compressed Data Dynamic Fixed Length Packaging Unpack Data Slope Based Linear Predictor Fig.4 Lossless compression-decompression scheme. The block diagram of the proposed compression – decompression scheme is illustrated in Fig. 4. We use a slope based predictor to estimate the value of the current ECG (x(n)) sample based on previous 2 samples (x(n-1), x(n-2)), i.e. we compute the current sample value using the same signal slope obtained from previous 2 samples. This predicted value is subtracted from the actual value to obtain the prediction error of the current sample, The estimation for slope predictor and prediction error are given by (1), (2). where is prediction estimate, e(n) is the prediction error. The dynamic range of e(n) is generally much smaller than that of the ECG signal as shown in Fig. 5. Noted that, for achieving lossless compression, it needs maximum (L+2) bits to fully represent e(n), where L is the bit-width of x(n). With the To reduce the bit-width of e(n), a coding scheme can be used without incurring any data loss. Variable length coding schemes like Huffman and Arithmetic coding[4] are commonly used, which produces prefix-free codes[5] that can be packed closely. These approaches, although produce more or less optimal bit representations, the complexity of encoder/decoder is quite high[4][6]. For example, Huffman coding, associates the most frequently occurring symbols with short codewords and the less frequently occurring symbols with long codewords. This symbol-codeword association table has to be pre-constructed using a statistical dataset and the implementation of this table would require a large on chip memory[6], which ultimately would negate the effect of SRAM area savings from using compression. A suboptimal approach[5], selective Huffman coding, encodes only m frequently used symbols with Huffman codes and retains the remaining data un-encoded at the expense of a decrease in compression ratio. The hardware complexity of [5] is lower compared to the statistical approach. However it still need an m symbol lookup table at the encoder as well as decoder. In addition, these coding schemes produce, variable length codes at the output, that need further packaging to make it fit to fixed length packets, that can be stored in fixed word length SRAM/Flash or interfaced through a standard I/O like SPI. This re-packaging usually needs a complex hardware like the one proposed in [7]. We propose a simple coding-packaging scheme which combines coding and data packaging in one step. It has very low hardware complexity and achieves small area and low power while produces a fixed length 16-bit output. The flowchart of the scheme is presented in Fig. 6, where 2’s complement representation (e_2c(n)) of the error signal is used. As shown in Fig. 5, most error samples center around zero and hence can be represented by a few bits. Therefore we only select the necessary LSB’s and remove any MSBs that doesn’t carry information. However these data can’t be packed closely, since it doesn’t have the prefix-free nature of Huffman codes. For this, a data framing structure, as shown in Table I, with unique header for different frame types is
  • 3. used to pack the error samples of varying widths to a fixed length 16b output. Receive 2's C coded prediction error sample, e_2c(n) No Is the 6-Word local memory full? Yes Append Header “0000” and use Frame format D (Table 1) -2 ≤ e_2c(n-5≤ i ≤n) ≤1 Yes IV. No Append Header “0001” and use Frame format C (Table 1) -4 ≤ e_2c(n-3≤ i ≤n) ≤3 Yes No Append Header “1” and use Frame format A (Table 1) -16 ≤ e_2c(n-2≤ i ≤n) ≤15 Yes No Append Header “01” and use Frame format B (Table 1) -64 ≤ e_2c(n-1≤ i ≤n) ≤63 Yes Append Header “0011” and use Frame format E (Table 1) No Fig 6. Coding-packaging Scheme Flowchart. A TABLE I DATA PACKAGING SCHEME FOR 2’S CODED PREDICTION ERROR SYMBOLS. 1 5/4 bits 5/4 bits 5/4 bits B 0 1 C 0 0 0 1 D 0 0 0 0 E 0 0 1 7/6 bits 1 7/6 bits 3 bits 3 bits 2 bits 2 bit 3 bits 2 bits 2 bits 3 bits 2 bits 2 bits 12 bits 16 bits Frame Type Header Data TABLE II COMPRESSION PERFORMANCE OF THE PROPOSED ALGORITHM USING THE MIT/BIH DATABASE Average CR Maximum CR Slope Predictor +Ideal Huffman 2.66 2.94 frame format can accommodate from Table I. If not, the algorithm proceeds with the next best framing option. The proposed algorithm is evaluated using the MIT/BIH recordings to obtain compression performance, as shown in Table II. The proposed coding scheme achieves 4% better performance than that of selective Huffman while produces fixed length coding. Its compression ratio is around 15% lower than ideal Huffman coding, but at significant less hardware cost as shown in next Section. Slope Predictor +Selective Huffman 2.16 2.32 Slope Predictor +Proposed Scheme 2.25 2.447 The dynamic data packaging scheme, in Fig. 6, uses a simple priority encoding technique to frame fixed length data from samples of multiple bit widths. As and when the error data is received, the algorithm checks whether the maximum amplitude of a signal group exceeds the value that particular HW ARCHITECTURE FOR PROPOSED COMPRESSOR An overview of the hardware architecture for a 4-channel compressor is shown in Fig 7. It takes 12-bit, 512 S/s multiplexed 4-channel data from ADC output, and performances de-multiplexing before processing the data. The data from each channel is identified by a 2-bit channel select header appended to the ECG sample by the ADC. Each of the data stream is fed into a separate slope predictor for computing the prediction error. The individual predictor is activated with the channel select signal to reduce the effective data switching. The predictor data registers are set to zeros during initialization. These initial values are treated as the first 2 data samples when the system starts. Since the first 2 samples of the ECG stream are assumed to be zeros after initialization, there is no need to explicitly send the initial 2 samples for starting decompression. At the beginning, the minimum bit-width required for representing each prediction error in 2’s complement format is computed. Since the packaging format shown in Table I only allows 5 types of data packets (2b, 3b, 5b, 7b and >8b), only these bit-widths are computed. The bit-width computation logic is shown in Fig. 8. Further the data is packaged into fixed 16 bit samples with bit packaging logic shown in Fig. 9. The error bit-width, along with corresponding error value, and actual samples are loaded serially into a 6-word register (Fig. 9). When the register is full, the packaging controller FSM, will pack the data from the register into a single 16-bit frame based on the inputs from Frame Enable logic. The order of priority is Frame type D, C, A, B (Table 1). The Frame enable logic for Frame type D is shown in Fig. 9. In order to prevent total loss of data due to a few accidental packet loss, the proposed scheme allows to transmit full frames (Type E) at a predetermined interval. In our experiment, a 4s interval works well in office environment using Bluetooth. An SPI slave interface is used for interfacing the block with programmable MCU. x(n-1) x(n-2) Ch1 Ch2 CH1 CH2 CH3 CH4 ChSEL_x(n-1) ChSEL_x(n-2) Ch3 Programmabl e Restart 2 BitW idth Compute e1 e2 e3 e4 4 13 12 Ch4 SPI Interface, Buffer, Registers Compressed 4 channel data Data Framing Controller Fig 7. Hardware Architecture of the Lossless compression block for a 4 Channel ECG on Chip. SPI I/F
  • 4. Bitwid th Compu te e(n)[12:x] e(n)[12:0] e(n)[12:1] BW Compu te BW2 2 bit (b) e(n)[12:2] BW Compute BW3 3 bit e(n)[12:4] BW Compu te BW5 5 bit e(n)[12:7] Priority Encoder Bit Width BW Compu te BW7 7 bit (c) (a) Encoding Table BW2,BW3, BW5,BW7 BitW idth 1,x,x,x 0,1,x,x 0,0,1,x 0,0,0,1 0,0,0,0 2 3 5 7 8 and above Fig 8. (a)Bit width block (b) Computation logic (c) Encoding Table Original Data samples BW1 2 BW2 BW3 BW4 BW5 BW6 e`(n) x`(n) Error samples 2 2 2 FSM Controller x`(n-1) x`(n-2) x`(n-3) x`(n-4) x`(n-5) 4 e`(n-1) e`(n-2) e`(n-3) e`(n-4) e`(n-5) Frame B Enable Frame A Enable Frame C Enable Frame D Enable 2 2 ≤ ≤ ≤ ≤ ≤ ≤ Counter Fig 9. (a) Bit packaging block (b) Frame Enable for Type D frame Fig.10 Chip micro photo and the prototype device. represented by at least 13 bits. Else any prediction errors above 9 bits will be lost. Based on our study, we obtained 2.15x compression with selective Huffman coding scheme with a full bit-width representation for uncoded signals, as shown in Table II. Also the output from [8] has to be further packaged into fixed length for practical use. Overall the proposed design gives the lowest power and gate count for compression without compromising on data integrity. TABLE III CHIP PERFORMANCE SUMMARY Supply Voltage Technology High-Pass Frequency Low-Pass Frequency Pass-Band Gain Input-Referred Noise (0.05 ~ 250 Hz) Common-Mode Rejection Ratio (CMRR) Power Supply Rejection Ratio (PSRR) Total Harmonic Distortion (THD) Sampling Frequency Total Front-End Current Total Back-End Current Effective Number of Bits (ENOB) 2.4~ 3.0 V 0.35 μm CMOS 0.0075 Hz 35 ~ 175 Hz 47 ~ 66 dB 1.46 μVrms 65 dB 76 dB -64 dBFS 256/512 Hz 12.5 μA 0.89 μA 9.3 TABLE IV COMPARISON OF COMPRESSOR WITH OTHER DESIGNS Ericson et S.L.Chen[8], This design al[4],2011 2013 Process 65nm 0.18μm 0.35μm Vdd 1.0V 1.8 V 2.4~3V CR 2.38 2.43* 2.25 No of Channels 1 1 4 Total Gatecount 53.9K 3.57K 2.26K Gatecount/Chan53.9K 3.57K 0.56K nel Total Power 170μW 36.4μW 2.14μW Power/Channel 170μW 36.4μW 535nW Area(mm2) 0.058 0.046 0.4 VI. CONCLUSION Fig.11 Before and after decompression. V. MEASUREMENT RESULTS AND COMPARISON The design is implemented in a 0.35um process and the front-end measurement results are shown in Table III. The input referred noise from 0.05 Hz to 150 Hz is less than 1.5 μVrms. The high-pass corner is less than 0.01 Hz, and the full-scale 3V THD is only 0.08 %. The total front-end current, including all four channels, ADC, DRL, bandgap, and crystal oscillator circuit, is only 12.5 μA. The backend consumes 0.89 μA and has a core area of 0.2 × 2.0 mm2. Fig. 10 shows the die photo and the evaluation prototype. The total chip area is 2.94 × 2.15 mm2. Fig. 11 gives an example of the beforeand after-compression ECG signal. No losses are observed from decompressed ECG signal. Comparison of the proposed compressor with other recent published designs are given in Table IV. This design achieves the lowest power and complexity with a negligible reduction in compression ratio in chip measurements. [4] has better compression ratio, but consumes much higher power due to the implementation of Golomb coding scheme. [8] uses selective Huffman coding scheme and consumes much higher power. Note that [8] uses only 9 bit to represent prediction error, e(n), for a 11 bit input data, when the data is left uncoded. For a fully lossless representation, e(n) has to be This paper presents a low power ECG SoC with lossless data compression for wearable devices. The compressor achieves an average CR of 2.25x. The design consumes 535nW/channel and has a core area of 0.4mm2 in 0.35μm process. In comparison with other published methods, the proposed algorithm and hardware implementation demonstrates a low power consumption and is therefore suitable for wearable wireless devices. REFERENCES [1] X. Zou, X. Xu, L. Yao, and Y. Lian, “A 1-V 450-nW Fully Integrated Programmable Biomedical Sensor Interface Chip,” Solid-State Circuits, IEEE Journal of, vol. 44, no. 4. pp. 1067–1077, 2009. [2] C. J. Deepu, X. Xu, X. Zou, L. Yao, and Y. Lian, “An ECG-on-Chip for Wearable Cardiac Monitoring Devices,” 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications, pp. 225–228, 2010. [3] R. F. Yazicioglu, S. Kim, T. Torfs, H. Kim, and C. Van Hoof, “A 30 uW Analog Signal Processor ASIC for Portable Biopotential Signal Monitoring,” Solid-State Circuits, IEEE Journal of, vol. 46, no. 1. pp. 209–223, 2011. [4] E. Chua and W. Fang, “Mixed bio-signal lossless data compressor for portable brain-heart monitoring systems,” IEEE Transactions on Consumer Electronics, vol. 57, no. 1, pp. 267–273, 2011. [5] A. Jas, J. Ghosh-Dastidar, M.-E. Ng, and N. A. Touba, “An efficient test vector compression scheme using selective Huffman coding,” Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 22, no. 6. pp. 797– 806, 2003. [6] S. Rigler, W. Bishop, and A. Kennings, “FPGA-Based Lossless Data Compression using Huffman and LZ77 Algorithms,” 2007 Canadian Conference on Electrical and Computer Engineering, pp. 1235–1238, 2007. [7] R.A.Becker and T.Acharya, “US Patent US6653953 B2; Varaiable Length Coding Packing Architecture ; Intel Corporation,” 2003. [8] S.-L. Chen and J.-G. Wang, “VLSI implementation of low-power cost-efficient lossless ECG encoder design for wireless healthcare monitoring application,” Electronics Letters, vol. 49, no. 2, pp. 91–93, Jan. 2013.