SlideShare a Scribd company logo
1 of 38
Regeltechniek (LabVIEW) 3pBA EA LabVIEW FPGA Implementing a Boolean function Vincent Claes
LabVIEW FPGA ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Xilinx SPARTAN3E Starter kit ,[object Object],[object Object],[object Object],[object Object],Vincent Claes
Xilinx SPARTAN3E Starter kit Vincent Claes
Xilinx SPARTAN3E Starter kit ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Before you start ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Starting an Empty Project ,[object Object],[object Object],Vincent Claes
Project Explorer ,[object Object],[object Object],Vincent Claes
Add the SPARTAN3E board as a hardware target to your project ,[object Object],[object Object],[object Object],[object Object],Vincent Claes
Select the Spartan-3E Starter Board ,[object Object],[object Object],Vincent Claes
Project Explorer view ,[object Object],[object Object],[object Object],Vincent Claes
Add FPGA target Peripherals ,[object Object],[object Object],[object Object],[object Object],Vincent Claes
Adding new FPGA I/O ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Project Explorer View ,[object Object],[object Object],Vincent Claes
Create a VI for the FPGA target ,[object Object],[object Object],[object Object],Vincent Claes
Overview ,[object Object],[object Object],[object Object],Vincent Claes
LabVIEW Functions for FPGA targets ,[object Object],[object Object],[object Object],Vincent Claes
Implementing Boolean logic ,[object Object],[object Object],Vincent Claes
FPGA I/O ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
FPGA I/O ,[object Object],[object Object],[object Object],Vincent Claes
FPGA I/O ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Indicators on Front Panel ,[object Object],Vincent Claes
Indicators on Front Panel Vincent Claes
Running the Example ,[object Object],Vincent Claes
Running the Example ,[object Object],Vincent Claes
Compile report ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
To Flash ,[object Object],[object Object],[object Object],Vincent Claes
To Flash ,[object Object],[object Object],Vincent Claes
To Flash ,[object Object],[object Object],Vincent Claes
To Flash ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
Setting up HOST VI ,[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes
HOST VI ,[object Object],Vincent Claes
HOST VI ,[object Object],[object Object],[object Object],[object Object],Vincent Claes
HOST VI ,[object Object],[object Object],[object Object],Vincent Claes
HOST VI ,[object Object],Vincent Claes
HOST VI ,[object Object],[object Object],Vincent Claes
Running HOST VI    FPGA VI example Vincent Claes
[object Object],[object Object],[object Object],[object Object],[object Object],Vincent Claes

More Related Content

What's hot

VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
Daola Khungur
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
Đình Khanh Nguyễn
 
Analysis and design of analog integrated circuits
Analysis and design of analog integrated circuitsAnalysis and design of analog integrated circuits
Analysis and design of analog integrated circuits
Badam Gantumur
 
ppt on embedded system
ppt on embedded systemppt on embedded system
ppt on embedded system
manish katara
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
illpa
 
BeagleBone Black Using Python
BeagleBone Black Using PythonBeagleBone Black Using Python
BeagleBone Black Using Python
Sai Viswanath
 
Ee213 vlsi introduction
Ee213 vlsi introductionEe213 vlsi introduction
Ee213 vlsi introduction
Hitesh Gupta
 

What's hot (20)

VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
VLSI
VLSI VLSI
VLSI
 
VLSI Technology
VLSI TechnologyVLSI Technology
VLSI Technology
 
ASIC Design Flow
ASIC Design FlowASIC Design Flow
ASIC Design Flow
 
Orcad pspice intro and basics
Orcad pspice intro and basicsOrcad pspice intro and basics
Orcad pspice intro and basics
 
Digital electronics
Digital electronicsDigital electronics
Digital electronics
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Analysis and design of analog integrated circuits
Analysis and design of analog integrated circuitsAnalysis and design of analog integrated circuits
Analysis and design of analog integrated circuits
 
ppt on embedded system
ppt on embedded systemppt on embedded system
ppt on embedded system
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Lesson 1 VLSI Technology.ppt
Lesson 1 VLSI Technology.pptLesson 1 VLSI Technology.ppt
Lesson 1 VLSI Technology.ppt
 
Mosfet
MosfetMosfet
Mosfet
 
Vlsi ppt priyanka
Vlsi ppt priyankaVlsi ppt priyanka
Vlsi ppt priyanka
 
BeagleBone Black Using Python
BeagleBone Black Using PythonBeagleBone Black Using Python
BeagleBone Black Using Python
 
Ee213 vlsi introduction
Ee213 vlsi introductionEe213 vlsi introduction
Ee213 vlsi introduction
 
VLSI Design
VLSI DesignVLSI Design
VLSI Design
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
SOC Design Challenges and Practices
SOC Design Challenges and PracticesSOC Design Challenges and Practices
SOC Design Challenges and Practices
 

Viewers also liked

Labview Introduction
Labview IntroductionLabview Introduction
Labview Introduction
Rashmi
 
Lab view introduction-threehour
Lab view introduction-threehourLab view introduction-threehour
Lab view introduction-threehour
e-LabVIEW
 
Fix protocol an introduction (r motie)
Fix protocol   an introduction (r motie)Fix protocol   an introduction (r motie)
Fix protocol an introduction (r motie)
Dr Richard Motie
 
LabVIEW FPGA @ XIOS Hogeschool Limburg
LabVIEW FPGA @ XIOS Hogeschool LimburgLabVIEW FPGA @ XIOS Hogeschool Limburg
LabVIEW FPGA @ XIOS Hogeschool Limburg
Vincent Claes
 
Lab view core 3 outline
Lab view core 3 outlineLab view core 3 outline
Lab view core 3 outline
bestip
 

Viewers also liked (20)

Labview Introduction
Labview IntroductionLabview Introduction
Labview Introduction
 
FPGA
FPGAFPGA
FPGA
 
Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)Tensor flow usergroup 2016 (公開版)
Tensor flow usergroup 2016 (公開版)
 
Sound and Vibration Toolkit User Manual
Sound and Vibration Toolkit User ManualSound and Vibration Toolkit User Manual
Sound and Vibration Toolkit User Manual
 
Kondiční prohlídky tiskáren linx
Kondiční prohlídky tiskáren linxKondiční prohlídky tiskáren linx
Kondiční prohlídky tiskáren linx
 
6 weeks summer training in labview,jalandhar
6 weeks summer training in labview,jalandhar6 weeks summer training in labview,jalandhar
6 weeks summer training in labview,jalandhar
 
Lab view introduction-threehour
Lab view introduction-threehourLab view introduction-threehour
Lab view introduction-threehour
 
Tai lieu plc s7 1200
Tai lieu plc s7 1200Tai lieu plc s7 1200
Tai lieu plc s7 1200
 
Labview
Labview Labview
Labview
 
Dot matrix display design using fpga
Dot matrix display design using fpgaDot matrix display design using fpga
Dot matrix display design using fpga
 
RubyConf Taiwan 2012 Opening & Closing
RubyConf Taiwan 2012 Opening & ClosingRubyConf Taiwan 2012 Opening & Closing
RubyConf Taiwan 2012 Opening & Closing
 
Intro To The FIX Protocol presented at BarCampNYC3
Intro To The FIX Protocol presented at BarCampNYC3 Intro To The FIX Protocol presented at BarCampNYC3
Intro To The FIX Protocol presented at BarCampNYC3
 
Fix protocol an introduction (r motie)
Fix protocol   an introduction (r motie)Fix protocol   an introduction (r motie)
Fix protocol an introduction (r motie)
 
Lập trình PLC S7 1200 tiếng Việt-Chuong 3 cấu hình thiết bị
Lập trình PLC S7 1200 tiếng Việt-Chuong 3 cấu hình thiết bịLập trình PLC S7 1200 tiếng Việt-Chuong 3 cấu hình thiết bị
Lập trình PLC S7 1200 tiếng Việt-Chuong 3 cấu hình thiết bị
 
Test automation
Test automationTest automation
Test automation
 
Lập trình PLC S7 1200 tiếng Việt-Chuong 4 khái niệm lập trình
Lập trình PLC S7 1200 tiếng Việt-Chuong 4 khái niệm lập trìnhLập trình PLC S7 1200 tiếng Việt-Chuong 4 khái niệm lập trình
Lập trình PLC S7 1200 tiếng Việt-Chuong 4 khái niệm lập trình
 
LabVIEW FPGA @ XIOS Hogeschool Limburg
LabVIEW FPGA @ XIOS Hogeschool LimburgLabVIEW FPGA @ XIOS Hogeschool Limburg
LabVIEW FPGA @ XIOS Hogeschool Limburg
 
A 64-by-8 Scrolling Led Matrix Display System
A 64-by-8 Scrolling Led Matrix Display SystemA 64-by-8 Scrolling Led Matrix Display System
A 64-by-8 Scrolling Led Matrix Display System
 
Tài liệu Matlab kỹ thuật
Tài liệu Matlab kỹ thuậtTài liệu Matlab kỹ thuật
Tài liệu Matlab kỹ thuật
 
Lab view core 3 outline
Lab view core 3 outlineLab view core 3 outline
Lab view core 3 outline
 

Similar to LabVIEW FPGA

Lab Implementation of Boolean logic in LabVIEW FPGA
Lab Implementation of Boolean logic in LabVIEW FPGALab Implementation of Boolean logic in LabVIEW FPGA
Lab Implementation of Boolean logic in LabVIEW FPGA
Vincent Claes
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Vincent Claes
 
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter boardSerial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Vincent Claes
 
Graphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEWGraphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEW
Vincent Claes
 
Chapter+1 +the+adventure+begins
Chapter+1 +the+adventure+beginsChapter+1 +the+adventure+begins
Chapter+1 +the+adventure+begins
noor020202
 
Xilinx ISE introduction Tutorial #1
Xilinx ISE introduction Tutorial #1Xilinx ISE introduction Tutorial #1
Xilinx ISE introduction Tutorial #1
guest1e88645e
 

Similar to LabVIEW FPGA (20)

Lab Implementation of Boolean logic in LabVIEW FPGA
Lab Implementation of Boolean logic in LabVIEW FPGALab Implementation of Boolean logic in LabVIEW FPGA
Lab Implementation of Boolean logic in LabVIEW FPGA
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
 
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter boardSerial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Serial Communication in LabVIEW FPGA on Xilinx Spartan 3E Starter board
 
Lab: Installation of Xilkernel on Xilinx Spartan 3E Starter board
Lab: Installation of Xilkernel on Xilinx Spartan 3E Starter boardLab: Installation of Xilkernel on Xilinx Spartan 3E Starter board
Lab: Installation of Xilkernel on Xilinx Spartan 3E Starter board
 
Graphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEWGraphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEW
 
verilog basics.ppt
verilog basics.pptverilog basics.ppt
verilog basics.ppt
 
Ecad final
Ecad finalEcad final
Ecad final
 
Chapter+1 +the+adventure+begins
Chapter+1 +the+adventure+beginsChapter+1 +the+adventure+begins
Chapter+1 +the+adventure+begins
 
Xilinx verilog tutorial
Xilinx verilog tutorialXilinx verilog tutorial
Xilinx verilog tutorial
 
Os Selbak
Os SelbakOs Selbak
Os Selbak
 
Gwt portlet
Gwt portletGwt portlet
Gwt portlet
 
Esp8266 v12
Esp8266 v12Esp8266 v12
Esp8266 v12
 
Xilinx ISE introduction Tutorial #1
Xilinx ISE introduction Tutorial #1Xilinx ISE introduction Tutorial #1
Xilinx ISE introduction Tutorial #1
 
Lab Handson: Power your Creations with Intel Edison!
Lab Handson: Power your Creations with Intel Edison!Lab Handson: Power your Creations with Intel Edison!
Lab Handson: Power your Creations with Intel Edison!
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakit
 
Johnny-Five
Johnny-FiveJohnny-Five
Johnny-Five
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Z turn Board Tutorial Book
Z turn Board Tutorial BookZ turn Board Tutorial Book
Z turn Board Tutorial Book
 

More from Vincent Claes

More from Vincent Claes (20)

Percepio Tracealyzer for FreeRTOS on MiniZED
Percepio Tracealyzer for FreeRTOS on MiniZEDPercepio Tracealyzer for FreeRTOS on MiniZED
Percepio Tracealyzer for FreeRTOS on MiniZED
 
Xilinx Vitis FreeRTOS Hello World
Xilinx Vitis FreeRTOS Hello WorldXilinx Vitis FreeRTOS Hello World
Xilinx Vitis FreeRTOS Hello World
 
Programming STM32L432 Nucleo with Keil MDK
Programming STM32L432 Nucleo with Keil MDKProgramming STM32L432 Nucleo with Keil MDK
Programming STM32L432 Nucleo with Keil MDK
 
Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP BlockDebugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
 
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA's
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA'sUsing Virtual IO (VIO) on Xilinx ZYNQ FPGA's
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA's
 
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
 
Workshop: Introductie tot Python
Workshop: Introductie tot PythonWorkshop: Introductie tot Python
Workshop: Introductie tot Python
 
Installation Anaconda Navigator for Python Workshop
Installation Anaconda Navigator for Python WorkshopInstallation Anaconda Navigator for Python Workshop
Installation Anaconda Navigator for Python Workshop
 
ZYNQ BRAM Implementation
ZYNQ BRAM ImplementationZYNQ BRAM Implementation
ZYNQ BRAM Implementation
 
Implementing a Database and API for your Cloud Service
Implementing a Database and API for your Cloud ServiceImplementing a Database and API for your Cloud Service
Implementing a Database and API for your Cloud Service
 
Launching Python Cloud Services for AI/IoT Projects
Launching Python Cloud Services for AI/IoT ProjectsLaunching Python Cloud Services for AI/IoT Projects
Launching Python Cloud Services for AI/IoT Projects
 
Real Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARMReal Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARM
 
R Markdown, Rpubs & github publishing and Shiny by Example
R Markdown, Rpubs & github publishing and Shiny by ExampleR Markdown, Rpubs & github publishing and Shiny by Example
R Markdown, Rpubs & github publishing and Shiny by Example
 
Using Texas Instruments Code Composer Studio for The CC3200XL Launchpad
Using Texas Instruments Code Composer Studio for The CC3200XL LaunchpadUsing Texas Instruments Code Composer Studio for The CC3200XL Launchpad
Using Texas Instruments Code Composer Studio for The CC3200XL Launchpad
 
Hogeschool PXL Smart Mirror
Hogeschool PXL Smart MirrorHogeschool PXL Smart Mirror
Hogeschool PXL Smart Mirror
 
Softcore vs Hardcore processor
Softcore vs Hardcore processorSoftcore vs Hardcore processor
Softcore vs Hardcore processor
 
MySQL / PHP Server
MySQL / PHP ServerMySQL / PHP Server
MySQL / PHP Server
 
Implementing an interface in r to communicate with programmable fabric in a x...
Implementing an interface in r to communicate with programmable fabric in a x...Implementing an interface in r to communicate with programmable fabric in a x...
Implementing an interface in r to communicate with programmable fabric in a x...
 
fTales workshop
fTales workshopfTales workshop
fTales workshop
 
Maker Revolution
Maker RevolutionMaker Revolution
Maker Revolution
 

Recently uploaded

Recently uploaded (20)

Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of Brazil
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 

LabVIEW FPGA