SlideShare une entreprise Scribd logo
1  sur  3
ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011

                 SUB. CODE: EC2357       QUESTION PAPER          SUB: VLSI DESIGN LAB

      TIME DURATION: 3 HOURS                                            MAXIMUM MARKS: 100



 1. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

2. a. Simulate a PRBS generator using Xilinx ISE 9.1i
   b. Implement a half adder using XC3S400 FPGA trainer kit.

3. a. Simulate an accumulator using Xilinx ISE 9.1i
  b. Implement a full adder using XC3S400 FPGA trainer kit.

4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
   b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

6. a. Simulate a universal shift register using Xilinx ISE 9.1i
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
   b. Implement a full subtractor using XC3S400 FPGA trainer kit

9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit

10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
        also the dissipated power after adding 0.01 pf capacitor at the output.
    b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE
       file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and
        and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

13. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

14 a. Simulate a PRBS generator using Xilinx ISE 9.1
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

15. a. Simulate an accumulator using Xilinx ISE 9.1i
    b. Implement a full subtractor using XC3S400 FPGA trainer kit.

16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
    b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit.

18. a. Simulate a universal shift register using Xilinx ISE 9.1i
    b. Implement a half adder using XC3S400 FPGA trainer kit.

19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
    b. Implement a full adder using XC3S400 FPGA trainer kit.
21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
       also the dissipated power after adding 0.1 pf capacitor at the output.
    b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i




23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the
       Spice file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and
       and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

Contenu connexe

Tendances

Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesRicardo Castro
 
Dsd lab Practical File
Dsd lab Practical FileDsd lab Practical File
Dsd lab Practical FileSoumya Behera
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programsGouthaman V
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL BasicRon Liu
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECERamesh Naik Bhukya
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manualSanthosh Poralu
 
Juan josefumeroarray14
Juan josefumeroarray14Juan josefumeroarray14
Juan josefumeroarray14Juan Fumero
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13Krish s
 
verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gatesRakesh kumar jha
 
Embedded system design psoc lab report
Embedded system design psoc lab reportEmbedded system design psoc lab report
Embedded system design psoc lab reportRamesh Naik Bhukya
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Béo Tú
 
VHdl lab report
VHdl lab reportVHdl lab report
VHdl lab reportJinesh Kb
 

Tendances (20)

Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Dsd lab Practical File
Dsd lab Practical FileDsd lab Practical File
Dsd lab Practical File
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Verilog lab mauual
Verilog lab mauualVerilog lab mauual
Verilog lab mauual
 
Juan josefumeroarray14
Juan josefumeroarray14Juan josefumeroarray14
Juan josefumeroarray14
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13
 
verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gates
 
Yacf
YacfYacf
Yacf
 
Embedded system design psoc lab report
Embedded system design psoc lab reportEmbedded system design psoc lab report
Embedded system design psoc lab report
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
8 Bit ALU
8 Bit ALU8 Bit ALU
8 Bit ALU
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014
 
Alu description[1]
Alu description[1]Alu description[1]
Alu description[1]
 
VHdl lab report
VHdl lab reportVHdl lab report
VHdl lab report
 
verilog
verilogverilog
verilog
 

Similaire à VLSI Anna University Practical Examination

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments IIGouthaman V
 
03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old daysAlexandre Moneger
 
CorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingCorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingSlide_N
 
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesFortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesJeff Larkin
 
Scikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonScikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonMicrosoft
 
Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)PUSHPALATHAV1
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docxdanhaley45372
 
Integrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesIntegrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesClaus Ibsen
 

Similaire à VLSI Anna University Practical Examination (9)

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments II
 
great_cow_basic_part18.pptx
great_cow_basic_part18.pptxgreat_cow_basic_part18.pptx
great_cow_basic_part18.pptx
 
03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days
 
CorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingCorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. Programming
 
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesFortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
 
Scikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonScikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in Python
 
Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docx
 
Integrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesIntegrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetes
 

Plus de Gouthaman V

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment IIGouthaman V
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2Gouthaman V
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementGouthaman V
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Gouthaman V
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMWGouthaman V
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papersGouthaman V
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonatorGouthaman V
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits IIGouthaman V
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time TableGouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IGouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IGouthaman V
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsGouthaman V
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentGouthaman V
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II QuestionsGouthaman V
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questionsGouthaman V
 
Combinational circuits II outputs
Combinational circuits II outputsCombinational circuits II outputs
Combinational circuits II outputsGouthaman V
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE BGouthaman V
 

Plus de Gouthaman V (20)

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment II
 
Dip Unit Test-I
Dip Unit Test-IDip Unit Test-I
Dip Unit Test-I
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys Placement
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMW
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papers
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonator
 
HCL IPT
HCL IPTHCL IPT
HCL IPT
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits II
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time Table
 
Email
EmailEmail
Email
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II Questions
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experiment
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II Questions
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questions
 
Combinational circuits II outputs
Combinational circuits II outputsCombinational circuits II outputs
Combinational circuits II outputs
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE B
 

Dernier

Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDThiyagu K
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxheathfieldcps1
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdfQucHHunhnh
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationnomboosow
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...fonyou31
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...Sapna Thakur
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024Janet Corral
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...PsychoTech Services
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104misteraugie
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Krashi Coaching
 
9548086042 for call girls in Indira Nagar with room service
9548086042  for call girls in Indira Nagar  with room service9548086042  for call girls in Indira Nagar  with room service
9548086042 for call girls in Indira Nagar with room servicediscovermytutordmt
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpinRaunakKeshri1
 

Dernier (20)

Measures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SDMeasures of Dispersion and Variability: Range, QD, AD and SD
Measures of Dispersion and Variability: Range, QD, AD and SD
 
The basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptxThe basics of sentences session 2pptx copy.pptx
The basics of sentences session 2pptx copy.pptx
 
1029 - Danh muc Sach Giao Khoa 10 . pdf
1029 -  Danh muc Sach Giao Khoa 10 . pdf1029 -  Danh muc Sach Giao Khoa 10 . pdf
1029 - Danh muc Sach Giao Khoa 10 . pdf
 
Interactive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communicationInteractive Powerpoint_How to Master effective communication
Interactive Powerpoint_How to Master effective communication
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
Ecosystem Interactions Class Discussion Presentation in Blue Green Lined Styl...
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
BAG TECHNIQUE Bag technique-a tool making use of public health bag through wh...
 
General AI for Medical Educators April 2024
General AI for Medical Educators April 2024General AI for Medical Educators April 2024
General AI for Medical Educators April 2024
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
IGNOU MSCCFT and PGDCFT Exam Question Pattern: MCFT003 Counselling and Family...
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
Kisan Call Centre - To harness potential of ICT in Agriculture by answer farm...
 
9548086042 for call girls in Indira Nagar with room service
9548086042  for call girls in Indira Nagar  with room service9548086042  for call girls in Indira Nagar  with room service
9548086042 for call girls in Indira Nagar with room service
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Student login on Anyboli platform.helpin
Student login on Anyboli platform.helpinStudent login on Anyboli platform.helpin
Student login on Anyboli platform.helpin
 

VLSI Anna University Practical Examination

  • 1. ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011 SUB. CODE: EC2357 QUESTION PAPER SUB: VLSI DESIGN LAB TIME DURATION: 3 HOURS MAXIMUM MARKS: 100 1. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 2. a. Simulate a PRBS generator using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 3. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit. 4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and and simulate it. b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 6. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half subtractor using XC3S400 FPGA trainer kit. 7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit 9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit 10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.01 pf capacitor at the output. b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
  • 2. 11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE file. b. Simulate a T flip flop using Xilinx ISE 9.1i 12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i 13. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 14 a. Simulate a PRBS generator using Xilinx ISE 9.1 b. Implement a half subtractor using XC3S400 FPGA trainer kit. 15. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit. 16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and and simulate it. b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit. 18. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit.
  • 3. 21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.1 pf capacitor at the output. b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i 23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the Spice file. b. Simulate a T flip flop using Xilinx ISE 9.1i 24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i