SlideShare une entreprise Scribd logo
1  sur  5
Télécharger pour lire hors ligne
ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012



    Interoperability of Reconfiguring System on FPGA
      Using a Design Entry of Hardware Description
                         Language
                                                    Ferry Wahyu Wibowo1
               1
                   Department of Informatics Engineering, STMIK AMIKOM Yogyakarta, Yogyakarta, Indonesia
                                                 Email: ferrywahyu@gmail.com

Abstract—For a long ago, world of digital design has spread             software. A software designers have to be familiar with
out in the many major and a lot of logics, approaches, and              hardware design to work on such technology applications
theories has been proposed. The digital emerges as a solution           [1]. Some of the designers hide their designs to the other
of a daily-life need and applicable on such technology from             designers to make differ on their intellectual properties and
the developing devices until software-based. All of the designs
                                                                        the other reason is they do not want to be revealed their ideas
has a significant point on the spesification, integration, and
optimization. The designers have been trying to make a good             in such technology.
designs on both hardware and software, latest both                          FPGAs as a high density and high-performance embed-
combinations have been known as the basic idea of hardware/             ded system design make an ease-of-use to configure a brand
software co-design. The state-of-the art computer is very               technology of dynamic reconfiguration. Nowadays, devel-
interesting to research because of its implementation can               oping FPGAs technology is very rapidly due to time-to-mar-
make changes of the cycle of reconfigurable objects. This paper         ket for large design. A static random access memory (SRAM)
presents a comparison of the two role plays in reconfigurable           FPGAs as a part of FPGAs components are vulnerable to be
devices especially FPGA-based, i.e. Altera and Xilinx. The              cracked by bitstream cloning, reverse-engineering and tam-
idea is that of a simple compiler has a good performance designs
                                                                        pering [2]. FPGAs compiler is the solution to optimize such a
for synthesizing Very high speed integrated circuit Hardware
Description Language (VHDL) code as well as the other                   design to get hardware platform. This paper presents of the
complexity software that more powerful. So, this paper                  big of two vendors that play on the role of reconfigurable
proposes such method as interoperability for reconfiguring              devices, i.e. Xilinx and Altera. Xilinx has been developing ISE
devices to get the point why few of the standard VHDL code              tool to compile its hardware description language design,
can’t be synthesised in the different compiler of VHDL code             and the otherside, Altera has developed Max+Plus II, never-
between Xilinx and Altera. The project of compiler softwares            theless Altera has been developing noval software product
that is observed from Xilinx is ISE and from Altera is Max+Plus         that is Quartus II. But, this paper is not going to explain
II. Max+Plus II is a low-cost software than ISE Xilinx, although        further about the term of Quartus II, it just investigates the
both Xilinx and Altera devices have a different structure each
                                                                        difference of compiling methods between both Altera
other.
                                                                        Max+Plus II and Xilinx ISE 9.2i on the designs although they
Keywords—Altera, FPGA, Interoperability, Reconfiguring,                 use a same standard hardware description language (HDL).
VHDL, Xilinx                                                            A hardware description language that is observed in this
                                                                        paper is Very high speed integrated circuit Hardware Descrip-
                        I. INTRODUCTION                                 tion Language (VHDL). ISE and Quartus II softwares have a
                                                                        bigger capasity than Max+Plus II, but the capasity of ISE
    While early Field Programmable Gate Arrays (FPGAs) have             software is biggest. This paper also proposes an idea using
been introduced that are the standing devices of                        Max+Plus II to design a hardware projects than using expen-
reconfigurable computing platform to reach widely of very               sive tools, because of training or education in the area of
large scale integrated (VLSI) technology. Emerging FPGAs                reconfigurable computing is very low-need to be understood
has driven some issues from ideas until how to get integrated           in short-time and in order to get low-cost designing and com-
board on one piece that is capable to be efforted and                   piling on FPGAs, although we knew that each of vendors
designed. Now, the need of complexity is possible to do and             has a different methods on their compiler and reconfigurable
lacks of designing are always observing in a good maner. We             device platforms. The goal is to maximize the use of a low-
realize that all of the hardware designers, especially who have         cost tool to find a good performance on its implementation.
been working on Field Programmable Gate Arrays (FPGAs)                  This paper presents how the same entry design of VHDL
or Application Specific Integrated Circuits (ASICs), are                code can be compiled and ran on both Xilinx and Altera FPGAs
familiar with how to design them and making some                        with some modifications on the programming of VHDL code,
comparisons of each of the designing tools. In the field of             because of each vendor had developed an own-libraries on
computing machines, the target is to make a good                        theirs tools, therefore analyzing on the standard VHDL code
combination between both application-hardware and driven-               and errors have been observed to make a designs as well.
*Corresponding author, Tel.: +628157948404
E-mail address: ferrywahyu@gmail.com (F.W. Wibowo).
© 2012 ACEEE                                                       60
DOI: 01.IJIT.02.01.62
ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012


                        II.   RELATED WORKS                               and has a slow or inefficient realization in implementation but
                                                                          primarily fine used for simulation and verification.
A. Over view of VHDL
                                                                              There is no international convention how a VHDL-
     A VHDL was developed as a mandate of Department of                   compliant tool must behave. So, that made a vendors built
Defense (DoD) for federally-sponsored VLSI designs in 1980s.              standarizations of theirs tools to synthesis theirs
The first convention established during the purpose of novel              reconfigurable devices. However, when we are working on
technology of reconfigurable chip design as The Institute of              VHDL compiler, it analyzes VHDL code for syntax errors and
Electrical and Electronics Engineer (IEEE) standard, IEEE 1076,           checks for compatibility with other modules. VHDL compiler
in 1987 and became extended convention in IEEE standard,                  generated an information about the project that we synthesis
IEEE 1164, in 1993 and during 1996, IEEE 1076.3 became a                  to keep track of definitions via entity and architecture names.
VHDL synthesis standard. VHDL stands for Very high speed                  It also contains analysis results about the system on FPGAs.
integrated circuit Hardware Description Language. VHDL is                 The running steps are very easily to understand, the
Pascal-like but for creating an electronic systems and                    synthesizer converts a VHDL program into circuit with
reconfiguring hardware like ASICs or FPGAs. The differences               components that can be found in the netlist result, then the
of both the hardware and software are, a software is a bundle             compiler executes for placing and routing to fit the circuit to
of statements which are executed sequentially while a                     a die (see fig. 1).
hardware has an event that happens concurrently and the
execution of hardware is called synthesis. A software language
can’t be used for describing and simulating hardware, and
either the concurrent software languages can’t be used. VHDL
supports the development, verification, synthesis, and testing
of hardware designs; the communication of hardware design
data; and the maintenance, modification and procurement of
hardware. A VHDL has been corrected and clarificated due to
its standard until replaced by subsequent document or until
                                                                                        Figure 1. Running on VHDL compiler
the standard is officially revised [3]. We use VHDL code to
write a program and describe hardware based on digital                    All vendors has same an idea in that of building theirs com-
electronics circuit or a chip just like a schematic does, but the         piler tools, but in how to implement the synthesising of theirs
difference on its design-use is, a circuits are very complex to           design entries embeds on FPGAs they has own-standardiza-
be designed by schematics.                                                tion. However, for our designs we can choose whatever tools
     A VHDL program is a collection of modules that form a                which are very familiar with. But, this paper explores a low-
hierarchical design which we have known as a top-down                     cost tool that is capable to interoperate on the design with
design. From the top-down design, we are capable to describe              the complex tool as mention previously, nevertheless this
how to design our circuits and we may get a synthesized                   paper ignores the devices we used.
circuit with a same consumption of components of FPGAs
using other algorithms constructed and designed by VHDL                               III. EXPERIMENT SUPPORTS AND TOOLS
code [4]. The main format to design using VHDL code consists              A. Xilinx ISE
of three parts, i.e. library, design entity, and design
                                                                              ISE stands for Integrated Synthesis Environment that was
architecture. The design entity is the primary hardware
                                                                          found by Xilinx. ISE Xilinx tools generate lines of VHDL code
abstraction and representing the inputs and outputs of the
                                                                          automatically in the file to construct a starting circuit input
whole design of system. The design architecture is the internal
                                                                          definition. The generated code includes library definitions,
description of implementation that relates on the signal
                                                                          an entity statement, an architecture statement with begin and
processing and controlling and can be written in one of three
                                                                          end statements, and a comment block template for
different detail levels as structural, or dataflow, or behavioral.
                                                                          documentation. Due to the richness of the VHDL code, there
Occasionally, each level of designs can be made a hybrid
                                                                          are many different ways to define a circuit inputs inside of a
designs to build a big picture of such implementation. The
                                                                          VHDL test bench module [5]. ISE Xilinx is not stand-alone
designs have a trade-off and self-characterization that of
                                                                          software to get a synthesising, an analyzing, and an uploading
bringing other-side of developing a concept of a systems or
                                                                          on FPGAs, but it has related with 3rd party partner tools. To
implementations. A structural design using explicit
                                                                          develop its tools, Xilinx has a program Xilinx University
components and the connections between them are defined,
                                                                          Program (XUP). Basically, XUP aims at a world-wide program
and a dataflow design using most statements is assigning
                                                                          to encourage and help the academic communities to use Xilinx
expressions to signal, in this way the tools are heavily involved
                                                                          technologies and make an easy access to Xilinx best-in-class
in converting the text to hardware. Meanwhile behavioral
                                                                          development tools and hardware platforms. The design entries
design using an algorithm that of describing the circuit’s output
                                                                          of ISE tools are more complex every time including HDL edit
which is developed; in this design level, the tools may not be
                                                                          and entry, system generator for digital signal processing
able to convert the text to hardware and may not be
                                                                          (DSP), intellectual property (IP) core generator, architecture
synthesizable and if could, it may lead to a very large circuit
                                                                          wizards, ECS schematic editor and register-transfer-level
© 2012 ACEEE                                                         61
DOI: 01.IJIT.02.01.62
ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012


(RTL) checker. Synthesis technology that is used by Xilinx                  MAX 5000, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000,
ISE is xilinx synthesis technology (XST). The technologies                  and FLEX 10K families of devices. Max+Plus II offers a full
for design verification are ModelSim Xilinx Edition, Static Tim-            spectrum of logic design capabilities: a variety of design en-
ing Analyzer, ChipScope Pro, Xpower estimation, ChipViewer,                 try methods for hierarchical designs, powerful logic synthe-
FPGA Editor with Probe, and HDL Bencher testbench genera-                   sis, timing-driven compilation, partitioning, functional and
tor. Xilinx also uses board level integration that is I/O buffer            timing simulation, linked multi-device simulation, timing analy-
information specification (IBIS) models. In order to get the                sis, automatic error location, and device programming and
powerful implementation analyzing on FPGAs, Xilinx embed-                   verification. Max+Plus II also reads Xilinx netlist files and
ded its tools by floorplanner and pinout and area constraints               writes standard delay format (SDF) files for a convenient
editor (PACE), constraint editor, timing driven place & route,              interface to other industry standard computer-added-engi-
modular design, incremental design, timing improvement wiz-                 neering (CAE) software. The design editors (the graphic, text,
ard [6]. Not all constraints can be specified with all tools or             and waveform editors) and auxiliary editors (the floorplan
methods, if a tool or method is not listed for that constraint,             and symbol editors) also share numerous features. Each de-
the reconfigurable device designers cannot use the constraint               sign editor allows the designer to perform similar task-such
with it [7]. Xilinx always develops its softwares to optimaze               as finding a signal or symbol-in the same way [8].
designing from beginning as resumed in table I.                                  The Max+Plus II compiler consists of a series of modules
           TABLE I. T HE DEVELOPMENT OF   THE XILINX SOFTWARES
                                                                            and a utility that check a project for errors, synthesize the
                                                                            logic, fit the project into one or more Altera devices, and
                                                                            generate output files for simulation, timing analysis, and
                                                                            device programming. The Max+Plus II compiler is providing
                                                                            powerful project prosessing that is customizable to achieve
                                                                            the best possible silicon implementation. The superb
                                                                            integration of the Max+Plus II software helps the designer to
                                                                            maximize his efficiency and productivity. The compilation
                                                                            process steps of Max+Plus II can be explained as the compiler
                                                                            first extracts information that defines the hierarchical
                                                                            connections between a project’s design file and checking
                                                                            the project for basic design entry error. It creates an
                                                                            organizational map of the project and then combines all design
                                                                            files into a fully flattened database that can be processed
                                                                            efficiently. The compiler also creates programming files which
                                                                            the Max+Plus II programmer or another industry-standard
                                                                            programmer defines to program one or more Altera devices
                                                                            [8].

                                                                                             IV .   ANALYSIZES AND RESULTS

                                                                                 In 2004, Altera had analyzed its software, Quartus II versus
                                                                            Xilinx ISE sofware [9]. Some features and comparisons had
                                                                            been published which target was to ask the FPGA designers
The ISE tools are more powerful to design and user friendly                 migrate its devices design expertise from Xilinx ISE into Altera
with FPGA designers, beside that Xilinx always develops its                 Quartus II, or at least, using the features of its tool to do
tool features until the FPGA designers are very enjoyable                   working on the Altera devices. However, those are not our
with the tool. It has a slogan “one solution for all devices and            main discussion. This paper investigates some designs using
all your logic design needs”.                                               VHDL code on both Altera Max+Plus II 10.2 and Xilinx ISE
                                                                            9.2i and also discusses how to get a specific designs of VHDL
B. Altera Max+Plus II                                                       code that can be compiled on both tools because there are
    Max+Plus stands for Multiple Array MatriX Programmable                  some designs must use a tricky ways to get a solution of
Logic User System, that was found by Altera. Altera Max+Plus                designing of VHDL code. The conversion of designs from
II software can be installed on Personal Computers (PCs)                    Max+Plus II to Xilinx ISE and the other way seems to be easy
and UNIX workstations. Altera also provides a multi plat-                   if the circuit designers use standard VHDL and many libraries
form, architecture-independent design environment that is                   are only defined by such tools. But, for several VHDL program
very easily adaptation a specific design needs. Max+Plus II                 can’t act like that although the circuit designer has use the
offers easy design entry, quick processing, and straightfor-                standard VHDL. It seems such VHDL compiler have a specific
ward device programming. Max+Plus II development soft-                      algorithm that was embedded.
ware is fully integrated package for creating logic designs of                   The simple design of standard VHDL should be capable
Altera programmable logic devices-including the Classic,                    to define in any other VHDL compiler. The VHDL program for

© 2012 ACEEE                                                           62
DOI: 01.IJIT.02.01. 62
ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012


data-flow design can be defined as shown below :                         methods and implementations of VHDL code, although they
   1 library ieee;                                                       have expertise in that area, they have to understand the char-
   2 use ieee.std_logic_1164.all;                                        acteristics of tools and devices used. The standard VHDL
   3 entity fully is                                                     works on the domain of syntaxes but not for such coding or
   4 port(a, b, c : in std_logic;                                        reconfiguring in tools and devices. The specific warning and
   5        sum, carry : out std_logic);                                 notification in the VHDL code compiler are always developed
   6 end fully;                                                          and found by vendors which have feedback of VHDL pro-
   7 architecture paper of fully is                                      gramming and FPGAs reconfiguring from the circuit design-
   8 begin                                                               ers. A data-flow method for multiplexer on the concurrent de-
   9       sum <= (a xor b) xor c;                                       sign of VHDL code can be written as below:
   10      carry <= (a and b) or (a and c) or (b and c);                 1 library ieee;
   11 end;                                                               2 use ieee.std_logic_1164.all;
The circuit design of fully can be compiled on both Xilinx ISE           3 use ieee.std_logic_arith.all;
9.2i and Altera Max+Plus II succesfully, because of the design           4 entity multi is
is defined in standard VHDL, but when the design of VHDL                 5 port(in0, in1, in2, in3 : in std_logic_vector(15 downto 0);
program is combined with a same circuit design, or otherwise             6       s : in std_logic_vector(1 downto 0);
the design is defined in a structural method then the design             7       z : out unsigned(15 downto 0));
is resulted as a circuit of the VHDL code as below :                     8 end;
1 library ieee;                                                          9 architecture paper of multi is
2 use ieee.std_logic_1164.all;                                           10 begin
3 use ieee.numeric_std.all;                                              11 z <= in0 when s = “00” else
4 entity fully2 is                                                       12       in1 when s = “01” else
5 port (A, B : in unsigned(1 downto 0);                                  13       in2 when s = “10” else
6 C : out unsigned(2 downto 0));                                         14       in3 when s = “11” else (others => ’X’);
7 end;                                                                   15 end exam;
8 architecture paper of fully2 is                                            For the synthesising of the data-flow design of multiplexer
9 component fully                                                        on both the Xilinx ISE and Altera Max+Plus II is successfully
10 port (a, b, c : in std_logic;                                         generated, but, because of the capasity constraint of Altera
11 sum, carry : out std_logic);                                          FPGAs, so the synthesis result needs more consumption of
12 end component;                                                        FPGAs components. But synthesising for Xilinx devices
13 signal carry : std_logic;                                             consumes a small part of components. In the modelling of
14 begin                                                                 such circuit system, the synthesis result of a large input and
15 bit0 : fully port map (                                               output is usable in the sub-module. A good design of using
16 a=>A(0),b=>B(0),c=>’0',sum=>C(0),carry=>carry);                       VHDL code is more efficient and effective, this can be done
17 bit1 : fully port map (                                               by knowing concept of digital circuit, not just knowing how
18 a=>A(1),b=>B(1),c=>carry,sum=>C(1),carry=>C(2));                      to program with VHDL code or other HDL. The behavioral
19 end;                                                                  method of circuit design need to be analyzed more than other
The synthesis can be done by Xilinx ISE but not by Altera                designs, because of the consumption of FPGAs components
Max+Plus II. First result states that Altera Max+Plus II can’t           is very large consumed than other methods of circuit designs
define ieee.numeric_std.all, so it shoud be replaced and de-             when it has synthesised. An example of process method can
fined with the library using ieee.std_logic_arith.all. But, there        be described as below :
is still a problem because of the Altera Max+Plus II compiler            1 library ieee;
can not define unsigned as in line 5 and 6, so the description           2 use ieee.std_logic_1164.all;
should define the VHDL standard into std_logic for single                3 use ieee.std_logic_arith.all;
input or output; or std_logic_vector for multi inputs or out-            4 entity counter is
puts. Other problem emerges due to definition in the line 16 is          5 port(Clk, Reset : in std_logic;
‘0’ valued by c. The solution for the value of c can be defined          6         Q : out unsigned(3 downto 0));
as a signal, and the other problem is the Altera Max+Plus II             7 end counter;
do not support source that is reused by VHDL program as                  8 architecture exam of counter is
signal carry of fully2. This solution can be prevented by                9 signal count : unsigned(3 downto 0);
writing a simple label straightforward without symbol ‘=>’.              10 begin
The difference of VHDL code implementation on both ISE                   11 process (Clk)
Xilinx and Max+Plus II Altera compilers, even they have struc-           12 begin
tured syntaxes of a standard VHDL code, is always related                13 if rising_edge(Clk) then
with the methods of VHDL compiler that are used by ven-                  14         if Reset = ‘1’ then count <= (others => ‘0’);
dors. The circuit designers who have familiar with a specific            15            else count <= count + 1;
                                                                         16         end if;
© 2012 ACEEE                                                        63
DOI: 01.IJIT.02.01.62
ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012


17 end if;                                                                                    ACKNOWLEDGMENT
18 end process;
19 Q <= count;                                                             I thank to the God who gives me chance to do this research.
20 end;                                                                I also thank to Prof. Dr. Jazi Eko Istiyanto who has encouraged
                                                                       me to be involved in the major of FPGAs researches, until i
    The VHDL code design of counter can be synthesised                 can do my own-researches.
both in Altera Max+Plus II and Xilinx ISE 9.2i. There is a
different definition for its coding than mentioned before, that                                   REFERENCES
signed by using data type of unsigned. The sequentially
design that is written by programming of VHDL code has                 [1] A. Virginia, Y.D. Yankova, K Bertels, “An empirical comparison
different characteristics when the circuit design is applicable        of ANSI-C to VHDL compilers: SPARK, ROCCC and DWARV,”
on ISE Xilinx and Altera Max+Plus II, it seems more succesfully        Annual Workshop on Circuits, Systems and Signal Processing,
                                                                       ProRISC 2007, pp. 388 - 394, Utrecht, 2007.
compiled using Xilinx ISE, but it can be written in the Altera
                                                                       [2] A.S. Zeineddini, K. Gaj, “Secure Partial Reconfiguration of
Max+Plus II by separating sequentially programming in the              FPGAs,” Proceedings 2005 IEEE International Conference on Field
sequentially programming. So, the result of synthesising a             Programmable Technology, pp. 155-162, 2005.
kind of circuit design can be defined clearly and not making           [3] IEEE Std 1076, “IEEE Standard VHDL Language Reference
a compiler defines a multi statements. This method also can            Manual,” The Institue of Electrical and Electronics Engineers, Inc.,
make consuming of FPGAs components that is defined in                  2000.
Altera Max+Plus has large consumption than defining in the             [4] F.W. Wibowo, “The Conservative Structure of Synthesizing
ISE Xilinx.                                                            Read Only Memory Design using VHDL on FPGA,” International
                                                                       Seminar on Industrial Engineering and Management, 4th, pp. 231-
                                                                       235. 2010.
                         CONCLUSIONS
                                                                       [5] Digilent, Xilinx ISE Simulator (ISim) VHDL Test Bench Tutorial,
    The software development is always related with hardware           Digilent, Inc., February 2010.
design in reconfigurable computing. We can’t deny that both            [6] M. Crastes, FPGAs in Education, Xilinx Inc., 2007.
the hardware and software can’t be ignored if we want to               [7] Xilinx, XST User Guide for Virtex-6, Spartan-6, and 7 Series
                                                                       Devices, Xilinx Inc., July 2011.
design a large systems. A VHDL has been standarized by
                                                                       [8] Altera, Max+Plus II Getting Started, Altera Corporation, 1997.
IEEE but in fact each vendor has a standarization of theirs            [9] Altera, “Advantages of Quartus II Software over Xilinx ISE,”
devices and tools. This paper has discussed how to                     White Paper, Altera Corporation, 2004.
implement a good design using VHDL code from the tool
which has defined to configure an FPGAs and some tricky
ways has been presented. Perhaps, for the advanced designers
that is not matter because they has some costs to buy, but
for people who hasn’t, they have to recognize their designs.




© 2012 ACEEE                                                      64
DOI: 01.IJIT.02.01. 62

Contenu connexe

Tendances

Resume_8years-Exp_Embedded
Resume_8years-Exp_EmbeddedResume_8years-Exp_Embedded
Resume_8years-Exp_EmbeddedVijayraj Hada
 
Safe and Reliable Embedded Linux Programming: How to Get There
Safe and Reliable Embedded Linux Programming: How to Get ThereSafe and Reliable Embedded Linux Programming: How to Get There
Safe and Reliable Embedded Linux Programming: How to Get ThereAdaCore
 
Project P erts2012
Project P erts2012Project P erts2012
Project P erts2012AdaCore
 
NEHA JAIN_RESUME
NEHA JAIN_RESUMENEHA JAIN_RESUME
NEHA JAIN_RESUMENeha Jain
 
HARISH_Resume_Embedded_SW
HARISH_Resume_Embedded_SWHARISH_Resume_Embedded_SW
HARISH_Resume_Embedded_SWHarish Kumar S
 
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS MOHAMMED FURQHAN
 
Обзор современных возможностей по распараллеливанию и векторизации приложений...
Обзор современных возможностей по распараллеливанию и векторизации приложений...Обзор современных возможностей по распараллеливанию и векторизации приложений...
Обзор современных возможностей по распараллеливанию и векторизации приложений...yaevents
 
Erlang Embedded — Concurrent Blinkenlights and More!
Erlang Embedded — Concurrent Blinkenlights and More!Erlang Embedded — Concurrent Blinkenlights and More!
Erlang Embedded — Concurrent Blinkenlights and More!Omer Kilic
 
Final presentation [dissertation project], 20192 esv0002
Final presentation [dissertation project], 20192 esv0002Final presentation [dissertation project], 20192 esv0002
Final presentation [dissertation project], 20192 esv0002MOHAMMED FURQHAN
 
The Actor Model applied to the Raspberry Pi and the Embedded Domain
The Actor Model applied to the Raspberry Pi and the Embedded DomainThe Actor Model applied to the Raspberry Pi and the Embedded Domain
The Actor Model applied to the Raspberry Pi and the Embedded DomainOmer Kilic
 

Tendances (20)

RTF - Prasad bhatt
RTF - Prasad bhattRTF - Prasad bhatt
RTF - Prasad bhatt
 
Resume_8years-Exp_Embedded
Resume_8years-Exp_EmbeddedResume_8years-Exp_Embedded
Resume_8years-Exp_Embedded
 
Revers engineering
Revers engineeringRevers engineering
Revers engineering
 
Satyam_Singh_cv
Satyam_Singh_cvSatyam_Singh_cv
Satyam_Singh_cv
 
Prasad_CTP
Prasad_CTPPrasad_CTP
Prasad_CTP
 
1.introduction to hd ls
1.introduction to hd ls1.introduction to hd ls
1.introduction to hd ls
 
Muruganandam_7years
Muruganandam_7yearsMuruganandam_7years
Muruganandam_7years
 
Del Sozzo's talk @ ICCD17
Del Sozzo's talk @ ICCD17Del Sozzo's talk @ ICCD17
Del Sozzo's talk @ ICCD17
 
Safe and Reliable Embedded Linux Programming: How to Get There
Safe and Reliable Embedded Linux Programming: How to Get ThereSafe and Reliable Embedded Linux Programming: How to Get There
Safe and Reliable Embedded Linux Programming: How to Get There
 
Project P erts2012
Project P erts2012Project P erts2012
Project P erts2012
 
KIRANKUMAR_MV
KIRANKUMAR_MVKIRANKUMAR_MV
KIRANKUMAR_MV
 
NEHA JAIN_RESUME
NEHA JAIN_RESUMENEHA JAIN_RESUME
NEHA JAIN_RESUME
 
HARISH_Resume_Embedded_SW
HARISH_Resume_Embedded_SWHARISH_Resume_Embedded_SW
HARISH_Resume_Embedded_SW
 
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
A CASE STUDY ON EMBEDDED SYSTEM SOFTWARE STACK LAYERS
 
Обзор современных возможностей по распараллеливанию и векторизации приложений...
Обзор современных возможностей по распараллеливанию и векторизации приложений...Обзор современных возможностей по распараллеливанию и векторизации приложений...
Обзор современных возможностей по распараллеливанию и векторизации приложений...
 
TULIKA KESHRI (1)
TULIKA KESHRI (1)TULIKA KESHRI (1)
TULIKA KESHRI (1)
 
Erlang Embedded — Concurrent Blinkenlights and More!
Erlang Embedded — Concurrent Blinkenlights and More!Erlang Embedded — Concurrent Blinkenlights and More!
Erlang Embedded — Concurrent Blinkenlights and More!
 
Final presentation [dissertation project], 20192 esv0002
Final presentation [dissertation project], 20192 esv0002Final presentation [dissertation project], 20192 esv0002
Final presentation [dissertation project], 20192 esv0002
 
The Actor Model applied to the Raspberry Pi and the Embedded Domain
The Actor Model applied to the Raspberry Pi and the Embedded DomainThe Actor Model applied to the Raspberry Pi and the Embedded Domain
The Actor Model applied to the Raspberry Pi and the Embedded Domain
 
Vignesh_Resume_7years
Vignesh_Resume_7yearsVignesh_Resume_7years
Vignesh_Resume_7years
 

En vedette

Lab mke1503 mee10203 01
Lab mke1503 mee10203 01Lab mke1503 mee10203 01
Lab mke1503 mee10203 01wanrizegillah
 
10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer ExperienceYuan Wang
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionIn a Rocket
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanPost Planner
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting PersonalKirsty Hulse
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldabaux singapore
 

En vedette (7)

Lab mke1503 mee10203 01
Lab mke1503 mee10203 01Lab mke1503 mee10203 01
Lab mke1503 mee10203 01
 
10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming Convention
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media Plan
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting Personal
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
 
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job? Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
 

Similaire à Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hardware Description Language

Similaire à Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hardware Description Language (20)

Report on VLSI
Report on VLSIReport on VLSI
Report on VLSI
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
Vlsi & embedded systems
Vlsi & embedded systemsVlsi & embedded systems
Vlsi & embedded systems
 
Project
ProjectProject
Project
 
Eric Theis resume61.1
Eric Theis resume61.1Eric Theis resume61.1
Eric Theis resume61.1
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Fpg as 9 abstract
Fpg as 9 abstractFpg as 9 abstract
Fpg as 9 abstract
 
kavita_resume_3
kavita_resume_3kavita_resume_3
kavita_resume_3
 
resume
resumeresume
resume
 
Suraj R -resume
Suraj R -resumeSuraj R -resume
Suraj R -resume
 
Detailed Cv
Detailed CvDetailed Cv
Detailed Cv
 
Mx2522172219
Mx2522172219Mx2522172219
Mx2522172219
 
Applications of Fuzzy Logic in Image Processing – A Brief Study
Applications of Fuzzy Logic in Image Processing – A Brief StudyApplications of Fuzzy Logic in Image Processing – A Brief Study
Applications of Fuzzy Logic in Image Processing – A Brief Study
 
14 284-291
14 284-29114 284-291
14 284-291
 
verification resume
verification resumeverification resume
verification resume
 
FPGA Based VLSI Design
FPGA Based VLSI DesignFPGA Based VLSI Design
FPGA Based VLSI Design
 
J044084349
J044084349J044084349
J044084349
 
Customization of GPRS, and Wi-Fi device drivers for PXA270 of Linux OS based...
Customization of GPRS, and Wi-Fi device drivers for PXA270  of Linux OS based...Customization of GPRS, and Wi-Fi device drivers for PXA270  of Linux OS based...
Customization of GPRS, and Wi-Fi device drivers for PXA270 of Linux OS based...
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 

Plus de IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

Plus de IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Dernier

Manual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditManual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditSkynet Technologies
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationKnoldus Inc.
 
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Scott Andery
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxLoriGlavin3
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterMydbops
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfpanagenda
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesThousandEyes
 
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...AliaaTarek5
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPathCommunity
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
A Framework for Development in the AI Age
A Framework for Development in the AI AgeA Framework for Development in the AI Age
A Framework for Development in the AI AgeCprime
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Farhan Tariq
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersRaghuram Pandurangan
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rick Flair
 

Dernier (20)

Manual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance AuditManual 508 Accessibility Compliance Audit
Manual 508 Accessibility Compliance Audit
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog Presentation
 
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
Enhancing User Experience - Exploring the Latest Features of Tallyman Axis Lo...
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
The State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptxThe State of Passkeys with FIDO Alliance.pptx
The State of Passkeys with FIDO Alliance.pptx
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
Scale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL RouterScale your database traffic with Read & Write split using MySQL Router
Scale your database traffic with Read & Write split using MySQL Router
 
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdfSo einfach geht modernes Roaming fuer Notes und Nomad.pdf
So einfach geht modernes Roaming fuer Notes und Nomad.pdf
 
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyesAssure Ecommerce and Retail Operations Uptime with ThousandEyes
Assure Ecommerce and Retail Operations Uptime with ThousandEyes
 
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
(How to Program) Paul Deitel, Harvey Deitel-Java How to Program, Early Object...
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to Hero
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
A Framework for Development in the AI Age
A Framework for Development in the AI AgeA Framework for Development in the AI Age
A Framework for Development in the AI Age
 
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...Genislab builds better products and faster go-to-market with Lean project man...
Genislab builds better products and faster go-to-market with Lean project man...
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information Developers
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...Rise of the Machines: Known As Drones...
Rise of the Machines: Known As Drones...
 

Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hardware Description Language

  • 1. ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012 Interoperability of Reconfiguring System on FPGA Using a Design Entry of Hardware Description Language Ferry Wahyu Wibowo1 1 Department of Informatics Engineering, STMIK AMIKOM Yogyakarta, Yogyakarta, Indonesia Email: ferrywahyu@gmail.com Abstract—For a long ago, world of digital design has spread software. A software designers have to be familiar with out in the many major and a lot of logics, approaches, and hardware design to work on such technology applications theories has been proposed. The digital emerges as a solution [1]. Some of the designers hide their designs to the other of a daily-life need and applicable on such technology from designers to make differ on their intellectual properties and the developing devices until software-based. All of the designs the other reason is they do not want to be revealed their ideas has a significant point on the spesification, integration, and optimization. The designers have been trying to make a good in such technology. designs on both hardware and software, latest both FPGAs as a high density and high-performance embed- combinations have been known as the basic idea of hardware/ ded system design make an ease-of-use to configure a brand software co-design. The state-of-the art computer is very technology of dynamic reconfiguration. Nowadays, devel- interesting to research because of its implementation can oping FPGAs technology is very rapidly due to time-to-mar- make changes of the cycle of reconfigurable objects. This paper ket for large design. A static random access memory (SRAM) presents a comparison of the two role plays in reconfigurable FPGAs as a part of FPGAs components are vulnerable to be devices especially FPGA-based, i.e. Altera and Xilinx. The cracked by bitstream cloning, reverse-engineering and tam- idea is that of a simple compiler has a good performance designs pering [2]. FPGAs compiler is the solution to optimize such a for synthesizing Very high speed integrated circuit Hardware Description Language (VHDL) code as well as the other design to get hardware platform. This paper presents of the complexity software that more powerful. So, this paper big of two vendors that play on the role of reconfigurable proposes such method as interoperability for reconfiguring devices, i.e. Xilinx and Altera. Xilinx has been developing ISE devices to get the point why few of the standard VHDL code tool to compile its hardware description language design, can’t be synthesised in the different compiler of VHDL code and the otherside, Altera has developed Max+Plus II, never- between Xilinx and Altera. The project of compiler softwares theless Altera has been developing noval software product that is observed from Xilinx is ISE and from Altera is Max+Plus that is Quartus II. But, this paper is not going to explain II. Max+Plus II is a low-cost software than ISE Xilinx, although further about the term of Quartus II, it just investigates the both Xilinx and Altera devices have a different structure each difference of compiling methods between both Altera other. Max+Plus II and Xilinx ISE 9.2i on the designs although they Keywords—Altera, FPGA, Interoperability, Reconfiguring, use a same standard hardware description language (HDL). VHDL, Xilinx A hardware description language that is observed in this paper is Very high speed integrated circuit Hardware Descrip- I. INTRODUCTION tion Language (VHDL). ISE and Quartus II softwares have a bigger capasity than Max+Plus II, but the capasity of ISE While early Field Programmable Gate Arrays (FPGAs) have software is biggest. This paper also proposes an idea using been introduced that are the standing devices of Max+Plus II to design a hardware projects than using expen- reconfigurable computing platform to reach widely of very sive tools, because of training or education in the area of large scale integrated (VLSI) technology. Emerging FPGAs reconfigurable computing is very low-need to be understood has driven some issues from ideas until how to get integrated in short-time and in order to get low-cost designing and com- board on one piece that is capable to be efforted and piling on FPGAs, although we knew that each of vendors designed. Now, the need of complexity is possible to do and has a different methods on their compiler and reconfigurable lacks of designing are always observing in a good maner. We device platforms. The goal is to maximize the use of a low- realize that all of the hardware designers, especially who have cost tool to find a good performance on its implementation. been working on Field Programmable Gate Arrays (FPGAs) This paper presents how the same entry design of VHDL or Application Specific Integrated Circuits (ASICs), are code can be compiled and ran on both Xilinx and Altera FPGAs familiar with how to design them and making some with some modifications on the programming of VHDL code, comparisons of each of the designing tools. In the field of because of each vendor had developed an own-libraries on computing machines, the target is to make a good theirs tools, therefore analyzing on the standard VHDL code combination between both application-hardware and driven- and errors have been observed to make a designs as well. *Corresponding author, Tel.: +628157948404 E-mail address: ferrywahyu@gmail.com (F.W. Wibowo). © 2012 ACEEE 60 DOI: 01.IJIT.02.01.62
  • 2. ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012 II. RELATED WORKS and has a slow or inefficient realization in implementation but primarily fine used for simulation and verification. A. Over view of VHDL There is no international convention how a VHDL- A VHDL was developed as a mandate of Department of compliant tool must behave. So, that made a vendors built Defense (DoD) for federally-sponsored VLSI designs in 1980s. standarizations of theirs tools to synthesis theirs The first convention established during the purpose of novel reconfigurable devices. However, when we are working on technology of reconfigurable chip design as The Institute of VHDL compiler, it analyzes VHDL code for syntax errors and Electrical and Electronics Engineer (IEEE) standard, IEEE 1076, checks for compatibility with other modules. VHDL compiler in 1987 and became extended convention in IEEE standard, generated an information about the project that we synthesis IEEE 1164, in 1993 and during 1996, IEEE 1076.3 became a to keep track of definitions via entity and architecture names. VHDL synthesis standard. VHDL stands for Very high speed It also contains analysis results about the system on FPGAs. integrated circuit Hardware Description Language. VHDL is The running steps are very easily to understand, the Pascal-like but for creating an electronic systems and synthesizer converts a VHDL program into circuit with reconfiguring hardware like ASICs or FPGAs. The differences components that can be found in the netlist result, then the of both the hardware and software are, a software is a bundle compiler executes for placing and routing to fit the circuit to of statements which are executed sequentially while a a die (see fig. 1). hardware has an event that happens concurrently and the execution of hardware is called synthesis. A software language can’t be used for describing and simulating hardware, and either the concurrent software languages can’t be used. VHDL supports the development, verification, synthesis, and testing of hardware designs; the communication of hardware design data; and the maintenance, modification and procurement of hardware. A VHDL has been corrected and clarificated due to its standard until replaced by subsequent document or until Figure 1. Running on VHDL compiler the standard is officially revised [3]. We use VHDL code to write a program and describe hardware based on digital All vendors has same an idea in that of building theirs com- electronics circuit or a chip just like a schematic does, but the piler tools, but in how to implement the synthesising of theirs difference on its design-use is, a circuits are very complex to design entries embeds on FPGAs they has own-standardiza- be designed by schematics. tion. However, for our designs we can choose whatever tools A VHDL program is a collection of modules that form a which are very familiar with. But, this paper explores a low- hierarchical design which we have known as a top-down cost tool that is capable to interoperate on the design with design. From the top-down design, we are capable to describe the complex tool as mention previously, nevertheless this how to design our circuits and we may get a synthesized paper ignores the devices we used. circuit with a same consumption of components of FPGAs using other algorithms constructed and designed by VHDL III. EXPERIMENT SUPPORTS AND TOOLS code [4]. The main format to design using VHDL code consists A. Xilinx ISE of three parts, i.e. library, design entity, and design ISE stands for Integrated Synthesis Environment that was architecture. The design entity is the primary hardware found by Xilinx. ISE Xilinx tools generate lines of VHDL code abstraction and representing the inputs and outputs of the automatically in the file to construct a starting circuit input whole design of system. The design architecture is the internal definition. The generated code includes library definitions, description of implementation that relates on the signal an entity statement, an architecture statement with begin and processing and controlling and can be written in one of three end statements, and a comment block template for different detail levels as structural, or dataflow, or behavioral. documentation. Due to the richness of the VHDL code, there Occasionally, each level of designs can be made a hybrid are many different ways to define a circuit inputs inside of a designs to build a big picture of such implementation. The VHDL test bench module [5]. ISE Xilinx is not stand-alone designs have a trade-off and self-characterization that of software to get a synthesising, an analyzing, and an uploading bringing other-side of developing a concept of a systems or on FPGAs, but it has related with 3rd party partner tools. To implementations. A structural design using explicit develop its tools, Xilinx has a program Xilinx University components and the connections between them are defined, Program (XUP). Basically, XUP aims at a world-wide program and a dataflow design using most statements is assigning to encourage and help the academic communities to use Xilinx expressions to signal, in this way the tools are heavily involved technologies and make an easy access to Xilinx best-in-class in converting the text to hardware. Meanwhile behavioral development tools and hardware platforms. The design entries design using an algorithm that of describing the circuit’s output of ISE tools are more complex every time including HDL edit which is developed; in this design level, the tools may not be and entry, system generator for digital signal processing able to convert the text to hardware and may not be (DSP), intellectual property (IP) core generator, architecture synthesizable and if could, it may lead to a very large circuit wizards, ECS schematic editor and register-transfer-level © 2012 ACEEE 61 DOI: 01.IJIT.02.01.62
  • 3. ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012 (RTL) checker. Synthesis technology that is used by Xilinx MAX 5000, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000, ISE is xilinx synthesis technology (XST). The technologies and FLEX 10K families of devices. Max+Plus II offers a full for design verification are ModelSim Xilinx Edition, Static Tim- spectrum of logic design capabilities: a variety of design en- ing Analyzer, ChipScope Pro, Xpower estimation, ChipViewer, try methods for hierarchical designs, powerful logic synthe- FPGA Editor with Probe, and HDL Bencher testbench genera- sis, timing-driven compilation, partitioning, functional and tor. Xilinx also uses board level integration that is I/O buffer timing simulation, linked multi-device simulation, timing analy- information specification (IBIS) models. In order to get the sis, automatic error location, and device programming and powerful implementation analyzing on FPGAs, Xilinx embed- verification. Max+Plus II also reads Xilinx netlist files and ded its tools by floorplanner and pinout and area constraints writes standard delay format (SDF) files for a convenient editor (PACE), constraint editor, timing driven place & route, interface to other industry standard computer-added-engi- modular design, incremental design, timing improvement wiz- neering (CAE) software. The design editors (the graphic, text, ard [6]. Not all constraints can be specified with all tools or and waveform editors) and auxiliary editors (the floorplan methods, if a tool or method is not listed for that constraint, and symbol editors) also share numerous features. Each de- the reconfigurable device designers cannot use the constraint sign editor allows the designer to perform similar task-such with it [7]. Xilinx always develops its softwares to optimaze as finding a signal or symbol-in the same way [8]. designing from beginning as resumed in table I. The Max+Plus II compiler consists of a series of modules TABLE I. T HE DEVELOPMENT OF THE XILINX SOFTWARES and a utility that check a project for errors, synthesize the logic, fit the project into one or more Altera devices, and generate output files for simulation, timing analysis, and device programming. The Max+Plus II compiler is providing powerful project prosessing that is customizable to achieve the best possible silicon implementation. The superb integration of the Max+Plus II software helps the designer to maximize his efficiency and productivity. The compilation process steps of Max+Plus II can be explained as the compiler first extracts information that defines the hierarchical connections between a project’s design file and checking the project for basic design entry error. It creates an organizational map of the project and then combines all design files into a fully flattened database that can be processed efficiently. The compiler also creates programming files which the Max+Plus II programmer or another industry-standard programmer defines to program one or more Altera devices [8]. IV . ANALYSIZES AND RESULTS In 2004, Altera had analyzed its software, Quartus II versus Xilinx ISE sofware [9]. Some features and comparisons had been published which target was to ask the FPGA designers The ISE tools are more powerful to design and user friendly migrate its devices design expertise from Xilinx ISE into Altera with FPGA designers, beside that Xilinx always develops its Quartus II, or at least, using the features of its tool to do tool features until the FPGA designers are very enjoyable working on the Altera devices. However, those are not our with the tool. It has a slogan “one solution for all devices and main discussion. This paper investigates some designs using all your logic design needs”. VHDL code on both Altera Max+Plus II 10.2 and Xilinx ISE 9.2i and also discusses how to get a specific designs of VHDL B. Altera Max+Plus II code that can be compiled on both tools because there are Max+Plus stands for Multiple Array MatriX Programmable some designs must use a tricky ways to get a solution of Logic User System, that was found by Altera. Altera Max+Plus designing of VHDL code. The conversion of designs from II software can be installed on Personal Computers (PCs) Max+Plus II to Xilinx ISE and the other way seems to be easy and UNIX workstations. Altera also provides a multi plat- if the circuit designers use standard VHDL and many libraries form, architecture-independent design environment that is are only defined by such tools. But, for several VHDL program very easily adaptation a specific design needs. Max+Plus II can’t act like that although the circuit designer has use the offers easy design entry, quick processing, and straightfor- standard VHDL. It seems such VHDL compiler have a specific ward device programming. Max+Plus II development soft- algorithm that was embedded. ware is fully integrated package for creating logic designs of The simple design of standard VHDL should be capable Altera programmable logic devices-including the Classic, to define in any other VHDL compiler. The VHDL program for © 2012 ACEEE 62 DOI: 01.IJIT.02.01. 62
  • 4. ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012 data-flow design can be defined as shown below : methods and implementations of VHDL code, although they 1 library ieee; have expertise in that area, they have to understand the char- 2 use ieee.std_logic_1164.all; acteristics of tools and devices used. The standard VHDL 3 entity fully is works on the domain of syntaxes but not for such coding or 4 port(a, b, c : in std_logic; reconfiguring in tools and devices. The specific warning and 5 sum, carry : out std_logic); notification in the VHDL code compiler are always developed 6 end fully; and found by vendors which have feedback of VHDL pro- 7 architecture paper of fully is gramming and FPGAs reconfiguring from the circuit design- 8 begin ers. A data-flow method for multiplexer on the concurrent de- 9 sum <= (a xor b) xor c; sign of VHDL code can be written as below: 10 carry <= (a and b) or (a and c) or (b and c); 1 library ieee; 11 end; 2 use ieee.std_logic_1164.all; The circuit design of fully can be compiled on both Xilinx ISE 3 use ieee.std_logic_arith.all; 9.2i and Altera Max+Plus II succesfully, because of the design 4 entity multi is is defined in standard VHDL, but when the design of VHDL 5 port(in0, in1, in2, in3 : in std_logic_vector(15 downto 0); program is combined with a same circuit design, or otherwise 6 s : in std_logic_vector(1 downto 0); the design is defined in a structural method then the design 7 z : out unsigned(15 downto 0)); is resulted as a circuit of the VHDL code as below : 8 end; 1 library ieee; 9 architecture paper of multi is 2 use ieee.std_logic_1164.all; 10 begin 3 use ieee.numeric_std.all; 11 z <= in0 when s = “00” else 4 entity fully2 is 12 in1 when s = “01” else 5 port (A, B : in unsigned(1 downto 0); 13 in2 when s = “10” else 6 C : out unsigned(2 downto 0)); 14 in3 when s = “11” else (others => ’X’); 7 end; 15 end exam; 8 architecture paper of fully2 is For the synthesising of the data-flow design of multiplexer 9 component fully on both the Xilinx ISE and Altera Max+Plus II is successfully 10 port (a, b, c : in std_logic; generated, but, because of the capasity constraint of Altera 11 sum, carry : out std_logic); FPGAs, so the synthesis result needs more consumption of 12 end component; FPGAs components. But synthesising for Xilinx devices 13 signal carry : std_logic; consumes a small part of components. In the modelling of 14 begin such circuit system, the synthesis result of a large input and 15 bit0 : fully port map ( output is usable in the sub-module. A good design of using 16 a=>A(0),b=>B(0),c=>’0',sum=>C(0),carry=>carry); VHDL code is more efficient and effective, this can be done 17 bit1 : fully port map ( by knowing concept of digital circuit, not just knowing how 18 a=>A(1),b=>B(1),c=>carry,sum=>C(1),carry=>C(2)); to program with VHDL code or other HDL. The behavioral 19 end; method of circuit design need to be analyzed more than other The synthesis can be done by Xilinx ISE but not by Altera designs, because of the consumption of FPGAs components Max+Plus II. First result states that Altera Max+Plus II can’t is very large consumed than other methods of circuit designs define ieee.numeric_std.all, so it shoud be replaced and de- when it has synthesised. An example of process method can fined with the library using ieee.std_logic_arith.all. But, there be described as below : is still a problem because of the Altera Max+Plus II compiler 1 library ieee; can not define unsigned as in line 5 and 6, so the description 2 use ieee.std_logic_1164.all; should define the VHDL standard into std_logic for single 3 use ieee.std_logic_arith.all; input or output; or std_logic_vector for multi inputs or out- 4 entity counter is puts. Other problem emerges due to definition in the line 16 is 5 port(Clk, Reset : in std_logic; ‘0’ valued by c. The solution for the value of c can be defined 6 Q : out unsigned(3 downto 0)); as a signal, and the other problem is the Altera Max+Plus II 7 end counter; do not support source that is reused by VHDL program as 8 architecture exam of counter is signal carry of fully2. This solution can be prevented by 9 signal count : unsigned(3 downto 0); writing a simple label straightforward without symbol ‘=>’. 10 begin The difference of VHDL code implementation on both ISE 11 process (Clk) Xilinx and Max+Plus II Altera compilers, even they have struc- 12 begin tured syntaxes of a standard VHDL code, is always related 13 if rising_edge(Clk) then with the methods of VHDL compiler that are used by ven- 14 if Reset = ‘1’ then count <= (others => ‘0’); dors. The circuit designers who have familiar with a specific 15 else count <= count + 1; 16 end if; © 2012 ACEEE 63 DOI: 01.IJIT.02.01.62
  • 5. ACEEE Int. J. on Information Technology, Vol. 02, No. 01, March 2012 17 end if; ACKNOWLEDGMENT 18 end process; 19 Q <= count; I thank to the God who gives me chance to do this research. 20 end; I also thank to Prof. Dr. Jazi Eko Istiyanto who has encouraged me to be involved in the major of FPGAs researches, until i The VHDL code design of counter can be synthesised can do my own-researches. both in Altera Max+Plus II and Xilinx ISE 9.2i. There is a different definition for its coding than mentioned before, that REFERENCES signed by using data type of unsigned. The sequentially design that is written by programming of VHDL code has [1] A. Virginia, Y.D. Yankova, K Bertels, “An empirical comparison different characteristics when the circuit design is applicable of ANSI-C to VHDL compilers: SPARK, ROCCC and DWARV,” on ISE Xilinx and Altera Max+Plus II, it seems more succesfully Annual Workshop on Circuits, Systems and Signal Processing, ProRISC 2007, pp. 388 - 394, Utrecht, 2007. compiled using Xilinx ISE, but it can be written in the Altera [2] A.S. Zeineddini, K. Gaj, “Secure Partial Reconfiguration of Max+Plus II by separating sequentially programming in the FPGAs,” Proceedings 2005 IEEE International Conference on Field sequentially programming. So, the result of synthesising a Programmable Technology, pp. 155-162, 2005. kind of circuit design can be defined clearly and not making [3] IEEE Std 1076, “IEEE Standard VHDL Language Reference a compiler defines a multi statements. This method also can Manual,” The Institue of Electrical and Electronics Engineers, Inc., make consuming of FPGAs components that is defined in 2000. Altera Max+Plus has large consumption than defining in the [4] F.W. Wibowo, “The Conservative Structure of Synthesizing ISE Xilinx. Read Only Memory Design using VHDL on FPGA,” International Seminar on Industrial Engineering and Management, 4th, pp. 231- 235. 2010. CONCLUSIONS [5] Digilent, Xilinx ISE Simulator (ISim) VHDL Test Bench Tutorial, The software development is always related with hardware Digilent, Inc., February 2010. design in reconfigurable computing. We can’t deny that both [6] M. Crastes, FPGAs in Education, Xilinx Inc., 2007. the hardware and software can’t be ignored if we want to [7] Xilinx, XST User Guide for Virtex-6, Spartan-6, and 7 Series Devices, Xilinx Inc., July 2011. design a large systems. A VHDL has been standarized by [8] Altera, Max+Plus II Getting Started, Altera Corporation, 1997. IEEE but in fact each vendor has a standarization of theirs [9] Altera, “Advantages of Quartus II Software over Xilinx ISE,” devices and tools. This paper has discussed how to White Paper, Altera Corporation, 2004. implement a good design using VHDL code from the tool which has defined to configure an FPGAs and some tricky ways has been presented. Perhaps, for the advanced designers that is not matter because they has some costs to buy, but for people who hasn’t, they have to recognize their designs. © 2012 ACEEE 64 DOI: 01.IJIT.02.01. 62