SlideShare a Scribd company logo
1 of 5
Download to read offline
K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications
(IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554

    An Efficient 64-Bit Carry Select Adder With Less Delay And
                     Reduced Area Application
   K Allipeera, M.Tech Student &                        S Ahmed Basha, Assitant Professor
                           Department of Electronics & Communication Engineering
                              St.Johns College Of Engineering & Technological
                                    Yemmiganur, Andhra Pradesh, India


Abstract
         Design of area, high speed and power-           The carry propagation delay CSLA is developed
efficient data path logic systems forms the largest      which drastically reduces the area and delay to a
areas of research in VLSI system design. In digital      great extent.
adders, the speed of addition is limited by the time
required to transmit a carry through the adder.                   The CSLA is used in many computational
Carry Select Adder (CSLA) is one of the fastest          systems design to moderate the problem of carry
adders used in many data-processing processors           propagation delay by independently generating
to perform fast arithmetic functions. From the           multiple carries and then select a carry to generate
structure of the CSLA, it is clear that there is         the sum. It uses independent ripple carry adders (for
scope for reducing the area and delay in the             Cin=0 and Cin=1) to generate the resultant sum.
CSLA. This work uses a simple and an efficient           However, the Regular CSLA is not area and speed
gate-level modification (in regular structure)           efficient because it uses multiple pairs of Ripple
which drastically reduces the area and delay of          Carry Adders (RCA) to generate partial sum and
the CSLA. Based on this modification 16, 32, 64          carry by considering carry input. The final sum and
and 128-bit square-root Carry Select Adder               carry are selected by the multiplexers (mux). Due to
(SQRT CSLA) architectures have been developed            the use of two independent RCA the area will
and compared with the regular SQRT CSLA                  increase which leads an increase in delay. To
architecture. The proposed design has reduced            overcome the above problem, the basic idea of the
area and delay to a great extent when compared           proposed work is to use n-bit binary to excess-1 code
with the regular SQRT CSLA. This work                    converters (BEC) to improve the speed of addition.
estimates the performance of the proposed                This logic can be replaced in RCA for Cin=1 to
designs with the regular designs in terms of delay,      further improves the speed and thus reduces the
area and synthesis are implemented in Xilinx             delay. Using Binary to Excess-1 Converter (BEC)
FPGA. The results analysis shows that the                instead of RCA in the regular CSLA will achieve
proposed CSLA structure is better than the               lower area, delay which speeds up the addition
regular SQRT CSLA.                                       operation. The main advantage of this BEC logic
                                                         comes from the lesser number of logic gates than the
Index Terms—Application-specific integrated              Full Adder (FA) structure because the number of
circuit (ASIC), area-efficient, CSLA, low delay.         gates used will be decreased.

1. INTRODUCTION                                                   This work in brief is structured as follows.
          Reduced area and high speed data path logic    Section II deals with the delay and area evaluation
systems are the main areas of research in VLSI           methodology of the basic adder blocks and its
system     design.     High-speed     addition    and    corresponding delay and area values. Section III
multiplication has always been a fundamental             deals with the structure and function of BEC logic
requirement of high-performance processors and           and its corresponding function table and logic
systems. In digital adders, the speed of addition is     equations. Section IV presents the architecture of the
limited by the time required to propagate a carry        Regular CSLA of 128-bits. This SQRT CSLA has
through the adder. The sum for each bit position in      been developed using ripple carry adders and
an elementary adder is generated sequentially only       multiplexers. The architecture of the Modified SQRT
after the previous bit position has been summed and      CSLA is presented in Sections V. In section VI
a carry propagated into the next position. There are     implementation methodologies and corresponding
many types of adder designs available (Ripple Carry      design tools are explained and finally the paper is
Adder, Carry Look Ahead Adder, Carry Save Adder,         concluded in section VIII.
Carry Skip Adder) which have its own advantages
and disadvantages. The major speed limitation in any      II. BASIC ADDER BLOCK
adder is in the production of carries and many                  The adder block using a Ripple carry adder,
authors considered the addition problem. To solve        BEC and Mux is explained in this section. In this we


                                                                                                550 | P a g e
K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications
(IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554
calculate and explain the delay & area using the       addition is performed using ripple carry adder and
theoretical approach and show how the delay and        for Cin=1 the operation is performed using 6-bit
area effect the total implementation. The AND, OR,     BEC (replacing the RCA for Cin=1). The resultant is
and Inverter (AOI) implementation of an XOR gate       selected based on Carry in signal from the previous
is shown in Fig. 1. The delay and area evaluation      group. The total delay depends on mux delay and Cin
methodology considers all gates to be made up of       signal from previous group.
AND, OR, and Inverter, each having delay equal to 1
unit and area equal to 1 unit. We then add up the      III. BEC
number of gates in the longest path of a logic block             The basic work is to use Binary to Excess-1
that contributes to the maximum delay. The area        Converter (BEC) in the regular CSLA to achieve
evaluation is done by counting the total number of     lower area and increased speed of operation. This
AOI gates required for each logic block. Based on      logic is replaced in RCA with Cin=1. This logic can
this approach, the blocks of 2:1 mux, Half Adder       be implemented for different bits which are used in
(HA), and FA are evaluated and listed in Table I.      the modified design. The main advantage of this
                                                       BEC logic comes from the fact that it uses lesser
                                                       number of logic gates than the n-bit Full Adder (FA)
                                                       structure. As stated above the main idea of this work
                                                       is to use BEC instead of the RCA with Cin=1 in
                                                       order to reduce the area and increase the speed of
                                                       operation in the regular CSLA to obtain modified
                                                       CSLA. To replace the n-bit RCA, an n+1 bit BEC
                                                       logic is required. The structure and the function table
                                                       of a 6-bit BEC are shown in Figure.2 and Table .2,
                                                       respectively.



Fig 1: Delay and area evalution of xor

Design            Delay   Area


XOR               3       5
2:1 MUX           3       4
Half Adder        3       6
Full Adder        6       13

                                                       Figure 2: 6-binary to excess-1 converter
Table 1: Delay and area evaluation of CSLA
                                                       Table 2: Function table of the 6-bit BEC

                                                                        B[5:0]          X[5:0]


                                                              000000                    000001
                                                              000001                    000010
                                                              000010                    000011



                                                              111111                    000000


Fig 2: 6-bit BEC with 12:6 mux
                                                       The Boolean expressions for the 6-bit BEC logic are
         Fig 2 shows the basic 6-bit addition          expressed below
operation which includes 6-bit data, a 6-bit BEC           X0 = ~B0
logic and 12:6 mux. The addition operation is
performed for Cin=0 and for Cin=1.For Cin=0 the            X1 = B0^B1

                                                                                              551 | P a g e
K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications
(IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554
                                                                X3 = B3^ (B0 & B1 & B2)
    X2 = B2^ (B0 & B1)                                          X4 = B4^ (B0 & B1 & B2 & B3)
                                                                X5 = B5^ (B0 & B1 & B2 & B3 & B4).




Figure 3: Architecture of Regular 64-bit SQRT CSLA

IV. ARCHITECTURE OF REGULAR                                 sector selects one of the two RCAs. That is, as shown
 64-BIT SQRT CSLA                                           in the Fig.3, if the carry-in is 0, the sum and carry-
         A 16-bit carry select adder can be developed       out of the upper RCA is selected, and if the carry-in
in two different sizes namely uniform block size and        is 1, the sum and carry-out of the lower RCA is
variable block size. Similarly a 32, 64 and 128-bit         selected.
can also be developed in two modes of different
block sizes. Ripple-carry adders are the simplest and                For this Regular CSLA architecture, the
most compact full adders, but their performance is          implementation code, for the Full Adders and
limited by a carry that must propagate from the least-      Multiplexers of different sizes (6:3, 8:4, 10:5 up to
significant bit to the most-significant bit. The various    24:11) were designed initially. The regular 64-bit,
16, 32, 64 and 128-bit CSLA can also be developed           128-bit CSLA were implemented by calling the
by using ripple carry adders. The speed of a carry-         ripple carry adders and all multiplexers.
select adder can be improved upto 40% to 90%, by
performing the additions in parallel, and reducing the      V.ARCHITECTURE OF MODIFIED
maximum carry delay.                                        64-BIT SQRT CSLA
                                                                       This architecture is similar to regular 64-bit
         Fig 3 shows the Regular structure of 64-bit         SQRT CSLA, the only change is that, we replace
SQRT CSLA. It includes many ripple carry adders of           RCA with Cin=1 among the two available RCAs in
variable sizes which are divided into groups. Group 0        a group with a BEC. This BEC has a feature that it
contains 2-bit RCA which contains only one ripple            can perform the similar operation as that of the
carry adder which adds the input bits and the input          replaced RCA with Cin=1. Fig 4 shows the
carry and results to sum [1:0] and the carry out. The        Modified block diagram of 64-bit SQRT CSLA.
carry out of the Group 0 which acts as the selection         The number of bits required for BEC logic is 1 bit
input to mux which is in group 1, selects the result         more than the RCA bits. The modified block
from the corresponding RCA (Cin=0) or RCA                    diagram is also divided into various groups of
(Cin=1). Similarly the remaining groups will be              variable sizes of bits with each group having the
selected depending on the Cout from the previous             ripple carry adders, BEC and corresponding mux .
groups.                                                      As shown in the Fig.4, Group 0 contain one RCA
                                                             only which is having input of lower significant bit
         In Regular CSLA, there is only one RCA to           and carry in bit and produces result of sum[1:0] and
perform the addition of the least significant bits [1:0].    carry out which is acting as mux selection line for
The remaining bits (other than LSBs), the addition is        the next group, similarly the procedure continues for
performed by using two RCAs corresponding to the             higher groups but they includes BEC logic instead
one assuming a carry-in of 0, the other a carry-in of 1      of RCA with Cin=1.Based on the consideration of
within a group. In a group, there are two RCAs that          delay values, the arrival time of selection input C1
receives the same data inputs but different Cin. The         of 8:3 mux is earlier than the sum of RCA and BEC.
upper adder has a carry-in of 0, the lower adder a           For remaining groups the selection input arrival is
carry-in of 1. The actual Cin from the preceding             later than the RCA and BEC. Thus, the sum1 and c1

                                                                                                     552 | P a g e
K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications
(IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554
(output from mux) are depending on mux and               selection input and the mux delay. In this Modified
results computed by RCA and BEC respectively.            CSLA architecture, the implementation code for
The sum2 depends on c1 and mux. For the                  Full Adder and Multiplexers of 6:3, 8:4, and 10:5 up
remaining parts the arrival time of mux selection        to 24:11 were designed. The design code for the
input is always greater than the arrival time of data    BEC was designed by using NOT, XOR and AND
inputs from the BEC’s. Thus, the delay of the            gates. Then 2, 3, 4, 5 up to 11-bit ripple carry adder
remaining MUX depends on the arrival time of mux         was designed.




Figure 4: Architecture of Modified 64-bit SQRT CSLA

Table 3: Comparison values



       Sl. No.         Adders                                 Delay (ns)           Area


                                         Regular              16.27                43
       1.              16 – bit
                                         Modified             14.67                47

                                         Regular              20.96                90
       2.              32 – bit
                                         Modified             18.83                102

                                         Regular              33.85                189
       3.              64 – bit
                                         Modified             23.71                212

                                         Regular              42.23                439
       4.              128 – bit
                                         Modified             35.29                441



VI.RESULTS
           The implemented design in this work has      corresponding values of delay and area are noted.
been simulated using Verilog-HDL (Modelsim). The        The synthesized reports contain area and delay
adders (of various size 16, 32, 64 and 128) are         values for different sized adders. The similar design
designed and simulated using Modelsim. All the V        flow is followed for both the regular and modified
files (Regular and Modified) are also simulated in      SQRT CSLA of different sizes.
Modelsim and corresponding results are compared.
After simulation the different size codes are                   Table 3 shows the comparison of regular
synthesized using Xilinx ISE 9.1i. The simulated V      and modified CSLA of various bits which includes
files are imported into the synthesized tool and        Delay and area comparisons. From the table it is


                                                                                               553 | P a g e
K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications
(IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554
clear that the delay decreases for 16-bit modified       Midwest Symp. Circuits and Systems, 1995,
method when compared with regular method.                pp. 1014–1017
Similarly the table also shows the comparison for the
various 32, 64, and 128 bits.

          The comparative values of areas shows that
the number of LUT will be more for modified
method for the 16, 32 and 64. This value decreases
gradually for 128 bits. For 256 bits the value almost
equal to regular method which will reduces more for
still higher order bits. Thus the modified method
decreases the delay and also area to a great extent.

VII. ACKNOWLEDGMENT
         K.Allipeera would like to thank Mr. S.
Ahmed Basha, Assitant professor ECE Department
who had been guiding throughout the project and
supporting me in giving technical ideas about the
paper and motivating me to complete the work
effectively and successfully.

VIII. CONCLUSION
          An efficient approach is proposed in this
paper to reduce the area and delay of SQRT CSLA
architecture. The reduction in the number of gates
is obtained by simply replacing the RCA with BEC
in the structure. The compared results shows that
the modified SQRT CSLA has a slightly larger area
for lower order bits which further reduces for
higher order bits. The delay is reduced to a great
extent with the modified SQRT CSLA. Thus the
results shows that using modified method the area
and delay will decrease thus leads to good
alternative for adder implementation for many
processors. The modified CSLA architecture is
therefore low area and high speed approaches for
VLSI hardware implementation.

REFERENCES
  1.    Bedrij, O. J., (1962), “Carry-select adder,”
        IRE Trans. Electron. Comput. Pp.340–344.
  2.    Ramkumar,B. , Kittur, H.M. and Kannan ,P.
        M.,(2010 ),“ASIC implementation of
        modified faster carry save adder,” Eur. J.
        Sci. Res., vol. 42, no. 1,pp.53–58.
  3.    Kim ,Y. and Kim ,L.-S.,(May2001), “64-bit
        carry-select adder with reduced area,
        “Electron Lett., vol. 37, no. 10, pp. 614–
        615.
  4.    Ceiang, T. Y. and Hsiao. J., (Oct
        1998),“Carry-select adder using single
        ripple carry adder,” Electron. Lett., vol. 34,
        no. 22, pp. 2101– 2103
  5.    He, Y., Chang, C. H. and Gu, J., (2005),
        “An A rea efficient 64-bit square root carry-
        select adder for low power application,” in
        Proc. IEEE Int. Symp.Circuits Syst. vol. 4,
        pp. 4082–4085.
  6.    E. Abu-Shama and M. Bayoumi, “A New
        cell for low power adders,” in Proc.Int.

                                                                                    554 | P a g e

More Related Content

What's hot

Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...IJERA Editor
 
Implementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderImplementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderIJMTST Journal
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Design and implementation of Parallel Prefix Adders using FPGAs
Design and implementation of Parallel Prefix Adders using FPGAsDesign and implementation of Parallel Prefix Adders using FPGAs
Design and implementation of Parallel Prefix Adders using FPGAsIOSR Journals
 
IRJET- FPGA Implementation of High Speed and Low Power Speculative Adder
IRJET-  	  FPGA Implementation of  High Speed and Low Power Speculative AdderIRJET-  	  FPGA Implementation of  High Speed and Low Power Speculative Adder
IRJET- FPGA Implementation of High Speed and Low Power Speculative AdderIRJET Journal
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...IJERA Editor
 
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesFPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesEditor IJMTER
 
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adder
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone AdderA Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adder
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adderijtsrd
 
POWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDERPOWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDERVLSICS Design
 
A High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorA High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorPrasanna Kumar
 

What's hot (19)

Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
 
Implementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select AdderImplementation of Low Power and Area-Efficient Carry Select Adder
Implementation of Low Power and Area-Efficient Carry Select Adder
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
R04605106110
R04605106110R04605106110
R04605106110
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
Bu34437441
Bu34437441Bu34437441
Bu34437441
 
W4408123126
W4408123126W4408123126
W4408123126
 
2012
20122012
2012
 
Design and implementation of Parallel Prefix Adders using FPGAs
Design and implementation of Parallel Prefix Adders using FPGAsDesign and implementation of Parallel Prefix Adders using FPGAs
Design and implementation of Parallel Prefix Adders using FPGAs
 
IRJET- FPGA Implementation of High Speed and Low Power Speculative Adder
IRJET-  	  FPGA Implementation of  High Speed and Low Power Speculative AdderIRJET-  	  FPGA Implementation of  High Speed and Low Power Speculative Adder
IRJET- FPGA Implementation of High Speed and Low Power Speculative Adder
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
 
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-LatchesFPGA Implementation of High Speed Architecture of CSLA using D-Latches
FPGA Implementation of High Speed Architecture of CSLA using D-Latches
 
Id2514581462
Id2514581462Id2514581462
Id2514581462
 
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adder
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone AdderA Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adder
A Novel Design of a 4 Bit Reversible ALU using Kogge-Stone Adder
 
POWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDERPOWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDER
 
6th EC CBCS Model question papers
6th EC CBCS Model question papers6th EC CBCS Model question papers
6th EC CBCS Model question papers
 
A High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorA High performance unified BCD adder/Subtractor
A High performance unified BCD adder/Subtractor
 

Viewers also liked (20)

Cw25585588
Cw25585588Cw25585588
Cw25585588
 
Dd25624627
Dd25624627Dd25624627
Dd25624627
 
Aplicaciones
AplicacionesAplicaciones
Aplicaciones
 
Tarjeta dedicacion
Tarjeta dedicacionTarjeta dedicacion
Tarjeta dedicacion
 
6290
62906290
6290
 
Palestra II ENLECE -FACED/UFC
Palestra II ENLECE -FACED/UFCPalestra II ENLECE -FACED/UFC
Palestra II ENLECE -FACED/UFC
 
6028
60286028
6028
 
Classes de palavras
Classes de palavrasClasses de palavras
Classes de palavras
 
6235
62356235
6235
 
Matías a tobías
Matías a tobíasMatías a tobías
Matías a tobías
 
6511
65116511
6511
 
6195
61956195
6195
 
Maria pereira psicologia desenvolvimento
Maria pereira psicologia desenvolvimentoMaria pereira psicologia desenvolvimento
Maria pereira psicologia desenvolvimento
 
Deber
DeberDeber
Deber
 
6484
64846484
6484
 
Curso de Adwords - Avançado
Curso de Adwords - AvançadoCurso de Adwords - Avançado
Curso de Adwords - Avançado
 
6488
64886488
6488
 
Diccionario
DiccionarioDiccionario
Diccionario
 
BWBR Portfolio
BWBR PortfolioBWBR Portfolio
BWBR Portfolio
 
Segura Net
Segura NetSegura Net
Segura Net
 

Similar to Cq25550554

Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLDesign and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLIJSRD
 
Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adderijsrd.com
 
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...IJTET Journal
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adderssingh7603
 
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderA Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderIJERD Editor
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderIRJET Journal
 
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Kunjan Shinde
 
kunjan elsevier paper
kunjan elsevier paperkunjan elsevier paper
kunjan elsevier paperKunjan Shinde
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adderijsrd.com
 
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular Automata
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular AutomataA Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular Automata
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular AutomataVIT-AP University
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adderABIN THOMAS
 
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERDESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERVLSICS Design
 
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERDESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERVLSICS Design
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
 
Implementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select AdderImplementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select Adderinventionjournals
 
Review on optimized area,delay and power efficient carry select adder using n...
Review on optimized area,delay and power efficient carry select adder using n...Review on optimized area,delay and power efficient carry select adder using n...
Review on optimized area,delay and power efficient carry select adder using n...IRJET Journal
 
Design and implementation of Closed Loop Control of Three Phase Interleaved P...
Design and implementation of Closed Loop Control of Three Phase Interleaved P...Design and implementation of Closed Loop Control of Three Phase Interleaved P...
Design and implementation of Closed Loop Control of Three Phase Interleaved P...IJMTST Journal
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder ijcisjournal
 

Similar to Cq25550554 (20)

Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDLDesign and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
Design and Implementation of Low-Power and Area-Efficient 64 bit CSLA using VHDL
 
Design and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select AdderDesign and Verification of Area Efficient Carry Select Adder
Design and Verification of Area Efficient Carry Select Adder
 
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
Area Delay Power Efficient and Implementation of Modified Square-Root Carry S...
 
M367578
M367578M367578
M367578
 
Design & implementation of high speed carry select adder
Design & implementation of high speed carry select adderDesign & implementation of high speed carry select adder
Design & implementation of high speed carry select adder
 
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select AdderA Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
A Novel Efficient VLSI Architecture Modified 16-B SQRT Carry Select Adder
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
 
kunjan elsevier paper
kunjan elsevier paperkunjan elsevier paper
kunjan elsevier paper
 
High Speed Carryselect Adder
High Speed Carryselect AdderHigh Speed Carryselect Adder
High Speed Carryselect Adder
 
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular Automata
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular AutomataA Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular Automata
A Novel and Efficient Design for Squaring Units by Quantum-Dot Cellular Automata
 
Design and development of carry select adder
Design and development of carry select adderDesign and development of carry select adder
Design and development of carry select adder
 
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERDESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
 
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDERDESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
DESIGN AND IMPLEMENTATION OF AN IMPROVED CARRY INCREMENT ADDER
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
Implementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select AdderImplementation of Low Power and Area Efficient Carry Select Adder
Implementation of Low Power and Area Efficient Carry Select Adder
 
Review on optimized area,delay and power efficient carry select adder using n...
Review on optimized area,delay and power efficient carry select adder using n...Review on optimized area,delay and power efficient carry select adder using n...
Review on optimized area,delay and power efficient carry select adder using n...
 
Design and implementation of Closed Loop Control of Three Phase Interleaved P...
Design and implementation of Closed Loop Control of Three Phase Interleaved P...Design and implementation of Closed Loop Control of Three Phase Interleaved P...
Design and implementation of Closed Loop Control of Three Phase Interleaved P...
 
128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder 128-Bit Area Efficient Reconfigurable Carry Select Adder
128-Bit Area Efficient Reconfigurable Carry Select Adder
 

Cq25550554

  • 1. K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554 An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application K Allipeera, M.Tech Student & S Ahmed Basha, Assitant Professor Department of Electronics & Communication Engineering St.Johns College Of Engineering & Technological Yemmiganur, Andhra Pradesh, India Abstract Design of area, high speed and power- The carry propagation delay CSLA is developed efficient data path logic systems forms the largest which drastically reduces the area and delay to a areas of research in VLSI system design. In digital great extent. adders, the speed of addition is limited by the time required to transmit a carry through the adder. The CSLA is used in many computational Carry Select Adder (CSLA) is one of the fastest systems design to moderate the problem of carry adders used in many data-processing processors propagation delay by independently generating to perform fast arithmetic functions. From the multiple carries and then select a carry to generate structure of the CSLA, it is clear that there is the sum. It uses independent ripple carry adders (for scope for reducing the area and delay in the Cin=0 and Cin=1) to generate the resultant sum. CSLA. This work uses a simple and an efficient However, the Regular CSLA is not area and speed gate-level modification (in regular structure) efficient because it uses multiple pairs of Ripple which drastically reduces the area and delay of Carry Adders (RCA) to generate partial sum and the CSLA. Based on this modification 16, 32, 64 carry by considering carry input. The final sum and and 128-bit square-root Carry Select Adder carry are selected by the multiplexers (mux). Due to (SQRT CSLA) architectures have been developed the use of two independent RCA the area will and compared with the regular SQRT CSLA increase which leads an increase in delay. To architecture. The proposed design has reduced overcome the above problem, the basic idea of the area and delay to a great extent when compared proposed work is to use n-bit binary to excess-1 code with the regular SQRT CSLA. This work converters (BEC) to improve the speed of addition. estimates the performance of the proposed This logic can be replaced in RCA for Cin=1 to designs with the regular designs in terms of delay, further improves the speed and thus reduces the area and synthesis are implemented in Xilinx delay. Using Binary to Excess-1 Converter (BEC) FPGA. The results analysis shows that the instead of RCA in the regular CSLA will achieve proposed CSLA structure is better than the lower area, delay which speeds up the addition regular SQRT CSLA. operation. The main advantage of this BEC logic comes from the lesser number of logic gates than the Index Terms—Application-specific integrated Full Adder (FA) structure because the number of circuit (ASIC), area-efficient, CSLA, low delay. gates used will be decreased. 1. INTRODUCTION This work in brief is structured as follows. Reduced area and high speed data path logic Section II deals with the delay and area evaluation systems are the main areas of research in VLSI methodology of the basic adder blocks and its system design. High-speed addition and corresponding delay and area values. Section III multiplication has always been a fundamental deals with the structure and function of BEC logic requirement of high-performance processors and and its corresponding function table and logic systems. In digital adders, the speed of addition is equations. Section IV presents the architecture of the limited by the time required to propagate a carry Regular CSLA of 128-bits. This SQRT CSLA has through the adder. The sum for each bit position in been developed using ripple carry adders and an elementary adder is generated sequentially only multiplexers. The architecture of the Modified SQRT after the previous bit position has been summed and CSLA is presented in Sections V. In section VI a carry propagated into the next position. There are implementation methodologies and corresponding many types of adder designs available (Ripple Carry design tools are explained and finally the paper is Adder, Carry Look Ahead Adder, Carry Save Adder, concluded in section VIII. Carry Skip Adder) which have its own advantages and disadvantages. The major speed limitation in any II. BASIC ADDER BLOCK adder is in the production of carries and many The adder block using a Ripple carry adder, authors considered the addition problem. To solve BEC and Mux is explained in this section. In this we 550 | P a g e
  • 2. K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554 calculate and explain the delay & area using the addition is performed using ripple carry adder and theoretical approach and show how the delay and for Cin=1 the operation is performed using 6-bit area effect the total implementation. The AND, OR, BEC (replacing the RCA for Cin=1). The resultant is and Inverter (AOI) implementation of an XOR gate selected based on Carry in signal from the previous is shown in Fig. 1. The delay and area evaluation group. The total delay depends on mux delay and Cin methodology considers all gates to be made up of signal from previous group. AND, OR, and Inverter, each having delay equal to 1 unit and area equal to 1 unit. We then add up the III. BEC number of gates in the longest path of a logic block The basic work is to use Binary to Excess-1 that contributes to the maximum delay. The area Converter (BEC) in the regular CSLA to achieve evaluation is done by counting the total number of lower area and increased speed of operation. This AOI gates required for each logic block. Based on logic is replaced in RCA with Cin=1. This logic can this approach, the blocks of 2:1 mux, Half Adder be implemented for different bits which are used in (HA), and FA are evaluated and listed in Table I. the modified design. The main advantage of this BEC logic comes from the fact that it uses lesser number of logic gates than the n-bit Full Adder (FA) structure. As stated above the main idea of this work is to use BEC instead of the RCA with Cin=1 in order to reduce the area and increase the speed of operation in the regular CSLA to obtain modified CSLA. To replace the n-bit RCA, an n+1 bit BEC logic is required. The structure and the function table of a 6-bit BEC are shown in Figure.2 and Table .2, respectively. Fig 1: Delay and area evalution of xor Design Delay Area XOR 3 5 2:1 MUX 3 4 Half Adder 3 6 Full Adder 6 13 Figure 2: 6-binary to excess-1 converter Table 1: Delay and area evaluation of CSLA Table 2: Function table of the 6-bit BEC B[5:0] X[5:0] 000000 000001 000001 000010 000010 000011 111111 000000 Fig 2: 6-bit BEC with 12:6 mux The Boolean expressions for the 6-bit BEC logic are Fig 2 shows the basic 6-bit addition expressed below operation which includes 6-bit data, a 6-bit BEC X0 = ~B0 logic and 12:6 mux. The addition operation is performed for Cin=0 and for Cin=1.For Cin=0 the X1 = B0^B1 551 | P a g e
  • 3. K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554 X3 = B3^ (B0 & B1 & B2) X2 = B2^ (B0 & B1) X4 = B4^ (B0 & B1 & B2 & B3) X5 = B5^ (B0 & B1 & B2 & B3 & B4). Figure 3: Architecture of Regular 64-bit SQRT CSLA IV. ARCHITECTURE OF REGULAR sector selects one of the two RCAs. That is, as shown 64-BIT SQRT CSLA in the Fig.3, if the carry-in is 0, the sum and carry- A 16-bit carry select adder can be developed out of the upper RCA is selected, and if the carry-in in two different sizes namely uniform block size and is 1, the sum and carry-out of the lower RCA is variable block size. Similarly a 32, 64 and 128-bit selected. can also be developed in two modes of different block sizes. Ripple-carry adders are the simplest and For this Regular CSLA architecture, the most compact full adders, but their performance is implementation code, for the Full Adders and limited by a carry that must propagate from the least- Multiplexers of different sizes (6:3, 8:4, 10:5 up to significant bit to the most-significant bit. The various 24:11) were designed initially. The regular 64-bit, 16, 32, 64 and 128-bit CSLA can also be developed 128-bit CSLA were implemented by calling the by using ripple carry adders. The speed of a carry- ripple carry adders and all multiplexers. select adder can be improved upto 40% to 90%, by performing the additions in parallel, and reducing the V.ARCHITECTURE OF MODIFIED maximum carry delay. 64-BIT SQRT CSLA This architecture is similar to regular 64-bit Fig 3 shows the Regular structure of 64-bit SQRT CSLA, the only change is that, we replace SQRT CSLA. It includes many ripple carry adders of RCA with Cin=1 among the two available RCAs in variable sizes which are divided into groups. Group 0 a group with a BEC. This BEC has a feature that it contains 2-bit RCA which contains only one ripple can perform the similar operation as that of the carry adder which adds the input bits and the input replaced RCA with Cin=1. Fig 4 shows the carry and results to sum [1:0] and the carry out. The Modified block diagram of 64-bit SQRT CSLA. carry out of the Group 0 which acts as the selection The number of bits required for BEC logic is 1 bit input to mux which is in group 1, selects the result more than the RCA bits. The modified block from the corresponding RCA (Cin=0) or RCA diagram is also divided into various groups of (Cin=1). Similarly the remaining groups will be variable sizes of bits with each group having the selected depending on the Cout from the previous ripple carry adders, BEC and corresponding mux . groups. As shown in the Fig.4, Group 0 contain one RCA only which is having input of lower significant bit In Regular CSLA, there is only one RCA to and carry in bit and produces result of sum[1:0] and perform the addition of the least significant bits [1:0]. carry out which is acting as mux selection line for The remaining bits (other than LSBs), the addition is the next group, similarly the procedure continues for performed by using two RCAs corresponding to the higher groups but they includes BEC logic instead one assuming a carry-in of 0, the other a carry-in of 1 of RCA with Cin=1.Based on the consideration of within a group. In a group, there are two RCAs that delay values, the arrival time of selection input C1 receives the same data inputs but different Cin. The of 8:3 mux is earlier than the sum of RCA and BEC. upper adder has a carry-in of 0, the lower adder a For remaining groups the selection input arrival is carry-in of 1. The actual Cin from the preceding later than the RCA and BEC. Thus, the sum1 and c1 552 | P a g e
  • 4. K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554 (output from mux) are depending on mux and selection input and the mux delay. In this Modified results computed by RCA and BEC respectively. CSLA architecture, the implementation code for The sum2 depends on c1 and mux. For the Full Adder and Multiplexers of 6:3, 8:4, and 10:5 up remaining parts the arrival time of mux selection to 24:11 were designed. The design code for the input is always greater than the arrival time of data BEC was designed by using NOT, XOR and AND inputs from the BEC’s. Thus, the delay of the gates. Then 2, 3, 4, 5 up to 11-bit ripple carry adder remaining MUX depends on the arrival time of mux was designed. Figure 4: Architecture of Modified 64-bit SQRT CSLA Table 3: Comparison values Sl. No. Adders Delay (ns) Area Regular 16.27 43 1. 16 – bit Modified 14.67 47 Regular 20.96 90 2. 32 – bit Modified 18.83 102 Regular 33.85 189 3. 64 – bit Modified 23.71 212 Regular 42.23 439 4. 128 – bit Modified 35.29 441 VI.RESULTS The implemented design in this work has corresponding values of delay and area are noted. been simulated using Verilog-HDL (Modelsim). The The synthesized reports contain area and delay adders (of various size 16, 32, 64 and 128) are values for different sized adders. The similar design designed and simulated using Modelsim. All the V flow is followed for both the regular and modified files (Regular and Modified) are also simulated in SQRT CSLA of different sizes. Modelsim and corresponding results are compared. After simulation the different size codes are Table 3 shows the comparison of regular synthesized using Xilinx ISE 9.1i. The simulated V and modified CSLA of various bits which includes files are imported into the synthesized tool and Delay and area comparisons. From the table it is 553 | P a g e
  • 5. K Allipeera, S Ahmed Basha / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.550-554 clear that the delay decreases for 16-bit modified Midwest Symp. Circuits and Systems, 1995, method when compared with regular method. pp. 1014–1017 Similarly the table also shows the comparison for the various 32, 64, and 128 bits. The comparative values of areas shows that the number of LUT will be more for modified method for the 16, 32 and 64. This value decreases gradually for 128 bits. For 256 bits the value almost equal to regular method which will reduces more for still higher order bits. Thus the modified method decreases the delay and also area to a great extent. VII. ACKNOWLEDGMENT K.Allipeera would like to thank Mr. S. Ahmed Basha, Assitant professor ECE Department who had been guiding throughout the project and supporting me in giving technical ideas about the paper and motivating me to complete the work effectively and successfully. VIII. CONCLUSION An efficient approach is proposed in this paper to reduce the area and delay of SQRT CSLA architecture. The reduction in the number of gates is obtained by simply replacing the RCA with BEC in the structure. The compared results shows that the modified SQRT CSLA has a slightly larger area for lower order bits which further reduces for higher order bits. The delay is reduced to a great extent with the modified SQRT CSLA. Thus the results shows that using modified method the area and delay will decrease thus leads to good alternative for adder implementation for many processors. The modified CSLA architecture is therefore low area and high speed approaches for VLSI hardware implementation. REFERENCES 1. Bedrij, O. J., (1962), “Carry-select adder,” IRE Trans. Electron. Comput. Pp.340–344. 2. Ramkumar,B. , Kittur, H.M. and Kannan ,P. M.,(2010 ),“ASIC implementation of modified faster carry save adder,” Eur. J. Sci. Res., vol. 42, no. 1,pp.53–58. 3. Kim ,Y. and Kim ,L.-S.,(May2001), “64-bit carry-select adder with reduced area, “Electron Lett., vol. 37, no. 10, pp. 614– 615. 4. Ceiang, T. Y. and Hsiao. J., (Oct 1998),“Carry-select adder using single ripple carry adder,” Electron. Lett., vol. 34, no. 22, pp. 2101– 2103 5. He, Y., Chang, C. H. and Gu, J., (2005), “An A rea efficient 64-bit square root carry- select adder for low power application,” in Proc. IEEE Int. Symp.Circuits Syst. vol. 4, pp. 4082–4085. 6. E. Abu-Shama and M. Bayoumi, “A New cell for low power adders,” in Proc.Int. 554 | P a g e