SlideShare une entreprise Scribd logo
1  sur  5
Télécharger pour lire hors ligne
P. Giribabu et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072

RESEARCH ARTICLE

www.ijera.com

OPEN ACCESS

DFT-Based Approach for Reducing Switching Activity during
Scan Shift
P. Giribabu*, G. Sunil**
*(Dept. of E.C.E, SVPCET, Puttur)
** (M. Tech, Assoc. Professor, Dept. of E.C.E, SVPCET, Puttur)

ABSTRACT
Power dissipation in digital circuits during scan based test is generally much higher than that during functional
operation. Unfortunately, this increased test power can create hot spots that may damage the silicon, the bonding
wires, and even the package. It can also cause intensive erosion of conductors-severely decreasing the reliability
of a device. Finally, excessive test power may also result in extra yield loss. To address these issues, this paper
first presents a detailed investigation of a benchmark circuit’s switching activity during different modes of
operation. Specifically, the average number of transitions in the combinational logic of a benchmark circuit
during scan shift is found to be approximately 2.5 times more than the average number of transitions during the
circuit’s normal functional operation. A DFT-based approach for reducing circuit switching activity during scan
shift is proposed. Instead of inserting additional logic at the gate level that may introduce additional delay on
critical paths, the proposed method modifies the design at the register transfer level (RTL) and uses the
synthesis tools to automatically deal with timing analysis and optimization.
Keywords - Automatic test pattern generation (ATPG), design for testability (DFT), functional power, powersensitive scan cell, scan-based test.

I.

INTRODUCTION

With Current advances in very large scale
integrated technology (VLSI), the sensitivity of
today’s chips to deep submicrometer effects is
increasing. Along with technology scaling, the
increase in the operating frequency and the increase
in the functional density of today’s digital designs has
led to new challenges for designers and test engineers.
Furthermore, dynamic power consumption and IRdrop due to excessive switching activity are critical
challenges. As a result, power reduction techniques
have been extensively studied by both industry and
academia with respect to both design and test.
Scan-based test remains one of the most
widely accepted design-for-test techniques because it
significantly improves the controllability and the
observability of the circuit’s internal nodes with an
insignificant area and performance overhead.
When applying scan-based tests, test
stimuli/test responses are loaded into/unloaded from
the scan chain during scan shift. During capture, the
scan cell contents are updated by applying capture
clock(s).
Unfortunately, witching activity during scanbased test is often much higher than that during
normal operation. There are multiple reasons for this
phenomenon. First, the test vectors applied
consecutively
are
not
correlated.
Second,
nonfunctional states may be traversed during scantest. Furthermore, test compaction and testing
multiple cores simultaneously contribute toward highswitching activity. In addition, as patterns are shifted
www.ijera.com

into and out of the scan chains, multiple changes of
the flip-flop values can propagate into the
combinational logic and cause massive amounts of
switching. Excessive switching activity during test
may destroy the chip, or decrease the reliability of the
chip. It may also cause the chip to overheat and may
lead to yield loss. Under such conditions, the design
may fail to meet aggressive timing requirements when
the supply voltage of the transistors is reduced by
excessive IR-drop. As a result, a chip that would
perform well during normal operation may be rejected
during test, causing yield loss.
Power dissipation during scan test is
analyzed in two categories depending on the clock
cycles of interest. The test power consumed during
scan shift cycles and capture cycles is referred to as
the shift power and the capture power, respectively.
During scan shift, the test stimuli are shifted into the
scan chains one bit at a time, and they create
transitions at the scan cell outputs that are further
rippled through the combinational part of the circuit.
In this paper, we focus our study on reducing shift
power dissipation in scan-based tests through the
insertion of additional logic at the register transfer
level (RTL). The additional logic blocks the scan-cell
transitions from propagating to the combinational
logic in order to reduce the shift power consumption.
The uniqueness of this method lies in the fact that the
insertion of the extra hardware is performed at the
RTL so that design constraints such as timing can be
handled automatically by the synthesis tool.

1068 | P a g e
P. Giribabu et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072
II.

EXISTING SYSTEM

To reduce the switching activity during scan
shift, many different approaches have been proposed
in literature. These approaches can be classified into
two main categories: 1) automatic test pattern
generation (ATPG)-based solutions; and 2) DFTbased solutions. The ATPG-based solutions attempt
to reduce the test power dissipation during test
generation, and they include nonrandom X-filling
techniques, best primary input change time,
application of a special input control pattern, test
vector reordering, selection of the optimal test
sequence, and power-aware test pattern generation
algorithms etc. Chandra and Kapurt proposed a
bounded adjacent X-fill technique where they studied
the correlation between the scan-in test stimulus and
the scan out test responses in order to reduce the shift
and capture cycles’ switching activity.
The advantage of the ATPG based solutions
is that they do not modify the original design and the
scan architecture. DFT-based solutions require one to
either partition the conventional scan chain
architecture or insert additional hardware into the
design. The conventional scan architecture into a
modified scan structure. The technique transforms a
single scan path into a scan path with any number of
length-balanced separate scan segments where only a
certain number of the scan segments are enabled for
each shift clock.
The multiple-phase clock scan technique
(MPC-SCAN) inserts some additional logic into the
conventional scan structure in order to generate
multiple scan clocks that are out of phase with each
other such that every scan chain will be controlled
with a different scan clock. The simultaneous shift
Operation of the multiple scan chains is blocked by
the multiple phase clocks. The propagation of the test
vectors in the compatible scan chain is done by a data
copying procedure, where the first scan cell in the
compatible scan segment gets its value from the scanin input and holds it for certain number of clock
cycles. During this hold time all the other subsequent
scan cells in the same compatible scan segment will
get assigned to their values by copying them from
their upstream scan cells in the same compatible scan
segment. The switching activity caused by shift of the
test vectors into the scan chain is reduced by the data
copying operation. All the scan cells that are
incompatible with each other grouped into a single
incompatible scan segment.
The test vector propagation on the
incompatible scan segments is done by regular shift
operation. The main disadvantage of these approaches
is the large area overhead. Moreover, they may
degrade circuit performance due to the extra logic
added between the scan cell outputs and the
functional logic. The supply gating transistors are
placed on every gate that is directly driven by a scan
cell. This technique reduces both dynamic and
leakage power dissipation during shift mode. Given a
www.ijera.com

www.ijera.com

set of test patterns, logic simulation is carried out to
identify the violating shift cycles in which peak
power violations occur. By using integer linear
programming (ILP) techniques, the optimization
problem is solved to select as few test points as
possible such that all violating cycles can be
eliminated. The disadvantages of this method are
twofold: 1) inserted test points are test set dependent,
therefore, violating cycles may not be eliminated
when the test set is changed; 2) solving an ILP
problem with a constraint matrix of the size of Vc×2S
is not applicable to large industrial circuits, where Vc
is the total number of violating cycles, and S is the
total number of scan cells. A medium size industrial
circuit typically contains several hundred thousand
scan cells. When simulating a random vector, the
primary inputs and the pseudo-primary inputs (PPI)
are set to the value X with pre-specified probabilities,
and the number of gates becoming X after the change
is used as a cost function to identify the logic value
assigned at the primary inputs and the PPI, as well as
to select scan cells to be held during scan shifting. To
explore several hundred thousands of scan cells in an
industrial circuit, a significant number of random
vectors need to be simulated in order to choose good
test points.

III.

COMPARISON OF THE SWITCHING
ACTIVITY DURING TEST AND
FUNCTIONAL MODES

Compared to the power dissipation during
normal operation, the research in low-power testing
has highlighted that the increase in power dissipation
during scan test is a significant problem for testing.
However, a quantitative study of the switching
activity during test as opposed to functional mode has
not been published to the best of our knowledge.
Thus, in this section, we present a quantitative
analysis of the nature of the switching activity for an
example circuit during test and functional modes. The
example circuit is a benchmark circuit obtained from
http://opencores.org/ and its function is to transform
colors such as CIE XYZ↔RGB or RGB↔YCbCr.
Fig. 1 shows the simulation flow for the functional
inputs. The analysis flow for functional inputs is
shown in Fig. 1. In this flow, an industrial synthesis
tool is first used to synthesize the benchmark circuit
from the RTL description to the gate level net list.
During synthesis, the timing characteristics of the
standard library cells are considered in order to allow
comprehensive switching activity analysis carried out
later at the gate level.
Our switching activity analysis flow only
considers the timing information of the standard cells
and the effect of the applied input patterns. More
detailed switching activity analysis including the
switching capacitance values of the cells can be
performed if the layout information of the design is
available.

1069 | P a g e
P. Giribabu et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072
Fig. 1. Analysis flow for functional inputs.
After the gate level net list is created, we used
ModelSimTM, to simulate the net list operated in
functional mode. The test bench reads an ASCII
coded input file that represents a picture and performs
the color transformation. An ASCII coded output file
is created after approximately 25000 clock cycles.
When running the test bench, we made ModelSimTM
generate a value change dump (VCD) file in order to
record all the signal value changes at every gate that
occurred during simulation.
The VCD file was processed by a script
developed in house to analyze the distribution of the
switching activity for all the nets over any specified
time slot. In Table I, we show the average number of
transitions per clock cycle at combinational library
cell outputs and flip-flop outputs, respectively, after
dividing the whole functional simulation into five
time slots, 5000 clock cycles per slot. The average
numbers of transitions per clock cycle over five time
slots are given on the row Average. Next, we
collected the switching activity during test mode for
both shift and capture cycles. The analysis flow is
shown in Fig. 2. Similar to the flow shown in Fig. 1,
we first used the synthesis tool to create a gate level
net list from the RTL description. We then ran the
scan insertion tool to create the scan chain and the
ATPG tool to generate 142 scan test patterns based on
the stuck-at fault model. ModelSimTM was used next
to simulate the test patterns according to the order
they are generated. It is worth pointing out that we
simulate the simultaneous scan in and scan out of
adjacent patterns in order to collect accurate
simulation data during test. Another VCD file was
created during simulation for switching activity
analysis.
The results of the switching activity analysis
for the ATPG test patterns are shown in Table II. The
average number of transitions per clock cycle at the
combinational library cell outputs and the flip-flop
outputs are listed in the rows Shift and Capture for the
scan shift and capture, respectively. Comparing the
switching activity between Tables I and II, it can be
seen that the average number of transitions per clock
cycle during scan shift is 2.3 and 2 times larger than
that during normal operation for the combinational
library cells and the flip-flop outputs, respectively.
When considering the switching activity during
capture, the ratios become higher, and they are 4.75
and 2 times larger than the switching activity during
normal operation for the combinational library cells
and the flip-flop outputs, respectively.
Although the number of transitions per clock
cycle during scan shift is much lower than that during
capture, it is worth pointing out that the number of
shift cycles used to shift in a scan test pattern is
typically much larger that the number of capture
cycles in the same pattern. Therefore, heat
accumulating during scan shift may damage the chip
under test and cause the incorrect values captured into
www.ijera.com

www.ijera.com

scan cell during capture. Reducing scan shift power is
one of the major problems during test. Motivated by
the switching activity analysis for functional and test
modes shown above, a novel and effective method for
reducing the switching activity during scan shift at
RTL will be described in the next sections.

IV.

PROPOSED SYSTEM

To significantly reduce scan shift power
while minimizing extra hardware overhead, the
approach uses the method described in the previous
section to identify a small set of power-sensitive cells
and their frozen values. Then, it modifies the circuit
by replacing identified power-sensitive scan cells by
frozen scan cells. A scan cell is said to be frozen
during scan shift if an additional gate is inserted at the
scan cell output and the logic value at the additional
gate holds constantly during scan shift. Fig. 4(a)
shows a scan cell without inserting an additional gate
between the scan cell output and the functional logic
it drives. Fig. 4(b) shows a frozen scan cell whose
frozen value is logic 0. During scan shift, the scan
enable signal Scan−en is asserted to 1 and the output
value at the additional AND gate holds to 0. During
capture and normal operation, Scan−en is disserted to
0 and the output of the scan cell drives the functional
logic directly. Similarly, an additional OR gate can be
inserted to freeze the scan cell to 1.
If the timing closure becomes invalid due to
the change, one cannot insert the additional gate at
that scan cell output, and hence the next most powersensitive scan cell will be selected and evaluated. The
problem of violating timing closure may prevent this
method from being adopted in a practical design flow
because: 1) re-evaluating timing is a tedious task; and
2) if the most power-sensitive scan cells happen to be
on critical paths with small timing slacks, we cannot
take advantage of these cells to reduce scan shift
power.
To solve the problems mentioned above, a
different flow to take advantage of power-sensitive
scan cells for scan shift power reduction in this
section. Instead of inserting the additional gates after
the synthesis step, we move the circuit modification
step to the RTL before synthesis. We rely on
synthesis tools to meet the timing closure while
allowing the freezing of power-sensitive scan cells
during scan shift. In the proposed flow, we need to
address two issues: 1) How to match the powersensitive scan cells to the corresponding RTL bits;
and (2) how to modify the RTL codes to freeze the
power-sensitive cells.
3.1. Identifying Power-Sensitive RTL Bits
Since many designs in RTL are described in
behavior rather than structure, directly extending the
probability-based algorithm described in Section IV
to identify the power-sensitive state elements defined
in RTL is not only an extremely difficult task, but
also not always feasible. We propose to quickly
1070 | P a g e
P. Giribabu et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072
synthesize the design in RTL to a “prototype”
implementation ALPASLAN et al.: ON REDUCING
SCAN SHIFT ACTIVITY AT RTL 1115 in gate
level first. Then, the algorithm described in Section
IV is applied to this prototype gate level net list in
order to obtain a list of power-sensitive state elements
to be scanned and their preferred frozen value. During
synthesis, it is unnecessary to0 optimize the design in
terms of performance and area, etc. What we need is a
gate level implementation of the design for estimating
signal probability. Once the power-sensitive state
elements are identified from the prototype gate level
net list, we map them back to the signal/variable bits
in RTL codes by using hierarchical path names. The
mapping is unique since the hierarchical path names
in two levels of description must be the same. Then
the design in RTL is modified such that the outputs of
those power-sensitive signals/variables can hold to
predefined values during scan shift. The detailed
description of this step will be given in the next
subsection.
By using the flow proposed above, it is
worth mentioning that it is unnecessary to consider
how the power-sensitive state elements identified in
the RTL are stitched into the scan chain at the gate
level. This is a distinct advantage because the power
reduction obtained will be fairly constant even if the
chains are spliced in different scan modes. The only
assumption we made here is that the design will be
converted to a full scan design at the gate level. If
partial scan is preferred, it is straightforward to
change the above flow to ensure that flip-flops that
will not be on the scan chain will remain untouched.

V.

www.ijera.com

FIGURES AND TABLES

3.2. Freezing Power-Sensitive RTL Bits
To block the transitions that occur at the
outputs of the power-sensitive scan cells from
propagating to the functional logic, a new primary
input, named scan enable, is added into the RTL of
the design. This signal can be reused during scan
chain insertion at the gate-level after synthesis to
control scan shift operation. Next, we create a new
“potentially-frozen”
Wire
that
will
drive
combinational gates, and its value depends on the
value captured into the power-sensitive flip-flop
during functional operation. Note that special
attention must be paid when one or more bits of a
multibit signal at the RTL must be frozen.

www.ijera.com

1071 | P a g e
P. Giribabu et al Int. Journal of Engineering Research and Applications
ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072
[2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

VI.

CONCLUSION

In this paper, we have presented and
analyzed a method for reducing switching activity
during scan shift by freezing a small subset of all flipflops at the RTL. We have shown that large
reductions in switching activity can be achieved with
very low-area overhead. The amount of scan flipflops that are going to be frozen can be decreased or
increased depending on the design’s overhead budget.
In comparison with previous methods, which freeze
these flip-flops at the gate level, timing closure can be
more easily met. When flip-flops are frozen at the
gate level, individual Timing analysis have to be
implemented to determine whether or not each flipflop could be frozen without violating timing. By
freezing all flip-flops simultaneously at the RTL,we
allow the synthesis tool to automatically optimize for
timing closure.

REFERENCES
[1]

P. Girard, “Survey of low-power testing of
VLSI circuits,” IEEE Design Test Compute.,
vol. 19, no. 3, pp. 80–90, May–Jun. 2002.

www.ijera.com

[11]

[12]

[13]

[14]

www.ijera.com

S. Ravi, “Power-aware test: Challenges and
solutions,” in Proc. Int. Test Conf., Oct. 2007,
pp. 1–10.
K. M. Butler, J. Saxena, A. Jain, T. Fryars, J.
Lewis, and G. Hetherington, “Minimizing
power consumption in scan testing: Pattern
generation and DFT techniques,” in Proc. Int.
Test Conf., Oct. 2004, pp. 355–364.
S. Wang and S. Gupta, “An automated test
pattern generator for minimizing switching
activity during scan testing activity,” IEEE
Trans. Comput.-Aided Design Integr. Circuits
Syst., vol. 21, no. 8, pp. 954–968, Aug. 2002.
R. Sankaralingam, R. R. Oruganti, and N.
Touba, “Static compaction techniques to
control scan vector power dissipation,” in Proc.
VLSI Test Symp., 2000, pp. 35–40.
X. Wen, Y. Yamashita, S. Kajihara, L.-T.
Wang, K. Saluja, and K. Kinoshita, “On lowcapture power test generation for scan testing,”
in Proc. VLSI Test Symp., 2005, pp. 265–270.
X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K.
Saluja, L.-T. Wang, K. Abdel-Hafez, and K.
Kinoshita, “A new ATPG method for efficient
capture power reduction during scan testing,”
in Proc. VLSI Test Symp.,2006, pp. 60–65.
Y.-T. Lin, M.-F. Wu, and J.-L. Huang, “PHSfill: A low power supply noise test pattern
generation technique for at-speed scan testing
in Huffman coding test compression
environment,” in Proc. Asian Test Symp., Nov.
2008, pp. 391–396.
S. Kajihara, K. Ishida, and K. Miyase, “Test
vector modification for power reduction during
scan testing,” in Proc. VLSI Test Symp., 2002,
pp. 160–165.
J. Saxena, K. M. Butler, V. B. Jayaram, S.
Kundu, N. V. Arvind, P. Spreeprakash, and M.
Hachinger, “A case study of IR-drop in
structured at-speed testing,” in Proc. Int. Test
Conf., Oct. 2003, pp. 1098–1104.
W. Li, S. M. Reddy, and I. Pomeranz, “On
reducing peak current and power during test,”
in Proc. IEEE Comp. Soc. Annu. Symp. VLSI,
May 2005, pp. 156–161.
S.Remersaro,X. Lin, Z. Zhang, S. M. Reddy, I.
Pomeranz, and J. Rajski,“Preferred fill: A
scalable method to reduce capture power for
scan based designs,” in Proc. Int. Test Conf.,
Oct. 2006, pp. 1–10.
N.Badereddine, P. Girard, S. ravossoudovitch,
C. Landrault, A. Virazel, and H.-J. Wunderlich,
“Structural-based power-aware assignment of
don’t cares for peak power reduction during
scan testing,” in Proc. IFIP Int. Conf. VLSI,
Oct. 2006, pp. 403–408.
N. Ahmed, M. Tehranipoor, and V. Jayaram,
“Transition delay fault test pattern generation
considering supply voltage noise in a SoC
design,” in Proc. Design Automat. Conf., Jun.
2007, pp. 533–538.

1072 | P a g e

Contenu connexe

Tendances

Random access scan
Random access scan Random access scan
Random access scan Harish Peta
 
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...IRJET Journal
 
Fpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionFpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionCecilio Martins
 
Mechanical Design and Analysis of Star Sensor
Mechanical Design and Analysis of Star SensorMechanical Design and Analysis of Star Sensor
Mechanical Design and Analysis of Star SensorIRJET Journal
 
Core Objective 1: Highlights from the Central Data Resource
Core Objective 1: Highlights from the Central Data ResourceCore Objective 1: Highlights from the Central Data Resource
Core Objective 1: Highlights from the Central Data ResourceAnubhav Jain
 
Design of Vibration Test Fixture for Opto-Electronic Equipment
Design of Vibration Test Fixture for Opto-Electronic EquipmentDesign of Vibration Test Fixture for Opto-Electronic Equipment
Design of Vibration Test Fixture for Opto-Electronic EquipmentIJMERJOURNAL
 
ESPRIT Method Enhancement for Real-time Wind Turbine Fault Recognition
ESPRIT Method Enhancement for Real-time Wind Turbine Fault RecognitionESPRIT Method Enhancement for Real-time Wind Turbine Fault Recognition
ESPRIT Method Enhancement for Real-time Wind Turbine Fault RecognitionIAES-IJPEDS
 
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...IJAEMSJORNAL
 
Wavelet energy moment and neural networks based particle swarm optimisation f...
Wavelet energy moment and neural networks based particle swarm optimisation f...Wavelet energy moment and neural networks based particle swarm optimisation f...
Wavelet energy moment and neural networks based particle swarm optimisation f...journalBEEI
 
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEW
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEWEndurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEW
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEWWaqas Tariq
 
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...IJECEIAES
 
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...Nidheesh Namboodhiri
 
A Novel Routing Algorithm for Wireless Sensor Network Using Particle Swarm O...
A Novel Routing Algorithm for Wireless Sensor Network Using  Particle Swarm O...A Novel Routing Algorithm for Wireless Sensor Network Using  Particle Swarm O...
A Novel Routing Algorithm for Wireless Sensor Network Using Particle Swarm O...IOSR Journals
 
Vcu Chemistry Reasearch Facilities
Vcu Chemistry Reasearch FacilitiesVcu Chemistry Reasearch Facilities
Vcu Chemistry Reasearch FacilitiesJoseph Turner 'Jody'
 
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...IJERA Editor
 
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...nishanth kurush
 
LISUN Rohs Testing EDX-2
LISUN Rohs Testing EDX-2LISUN Rohs Testing EDX-2
LISUN Rohs Testing EDX-2世满 江
 

Tendances (20)

Random access scan
Random access scan Random access scan
Random access scan
 
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...
IRJET- PSO based PID Controller for Bidirectional Inductive Power Transfer Sy...
 
05 2017 03_ralph_gottschalg_standardsbodyperspective
05 2017 03_ralph_gottschalg_standardsbodyperspective05 2017 03_ralph_gottschalg_standardsbodyperspective
05 2017 03_ralph_gottschalg_standardsbodyperspective
 
Fpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detectionFpga versus dsp for wavelet transform based voltage sags detection
Fpga versus dsp for wavelet transform based voltage sags detection
 
Mechanical Design and Analysis of Star Sensor
Mechanical Design and Analysis of Star SensorMechanical Design and Analysis of Star Sensor
Mechanical Design and Analysis of Star Sensor
 
Core Objective 1: Highlights from the Central Data Resource
Core Objective 1: Highlights from the Central Data ResourceCore Objective 1: Highlights from the Central Data Resource
Core Objective 1: Highlights from the Central Data Resource
 
Design of Vibration Test Fixture for Opto-Electronic Equipment
Design of Vibration Test Fixture for Opto-Electronic EquipmentDesign of Vibration Test Fixture for Opto-Electronic Equipment
Design of Vibration Test Fixture for Opto-Electronic Equipment
 
ESPRIT Method Enhancement for Real-time Wind Turbine Fault Recognition
ESPRIT Method Enhancement for Real-time Wind Turbine Fault RecognitionESPRIT Method Enhancement for Real-time Wind Turbine Fault Recognition
ESPRIT Method Enhancement for Real-time Wind Turbine Fault Recognition
 
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...
Study of a Laboratory-based Gamma Spectrometry for Food and Environmental Sam...
 
Wavelet energy moment and neural networks based particle swarm optimisation f...
Wavelet energy moment and neural networks based particle swarm optimisation f...Wavelet energy moment and neural networks based particle swarm optimisation f...
Wavelet energy moment and neural networks based particle swarm optimisation f...
 
27 7th pvpmc stellbogen_mlpe
27 7th pvpmc stellbogen_mlpe27 7th pvpmc stellbogen_mlpe
27 7th pvpmc stellbogen_mlpe
 
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEW
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEWEndurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEW
Endurance Testing of Aircraft Electro-Hydraulic Actuator Using LabVIEW
 
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
A Passive Islanding Detection Method for Neutral point clamped Multilevel Inv...
 
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...
A Novel Approach for Fault Location of Overhead Transmission Line With Noncon...
 
05546953
0554695305546953
05546953
 
A Novel Routing Algorithm for Wireless Sensor Network Using Particle Swarm O...
A Novel Routing Algorithm for Wireless Sensor Network Using  Particle Swarm O...A Novel Routing Algorithm for Wireless Sensor Network Using  Particle Swarm O...
A Novel Routing Algorithm for Wireless Sensor Network Using Particle Swarm O...
 
Vcu Chemistry Reasearch Facilities
Vcu Chemistry Reasearch FacilitiesVcu Chemistry Reasearch Facilities
Vcu Chemistry Reasearch Facilities
 
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...
Evaluation of Power Conversion on Heavy Payload Tethered Hexarotors: A Strate...
 
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...
A_Double-Terminal_Traveling-Wave-Based_Method_Using_Novel_Noncontact_Sensors_...
 
LISUN Rohs Testing EDX-2
LISUN Rohs Testing EDX-2LISUN Rohs Testing EDX-2
LISUN Rohs Testing EDX-2
 

Similaire à DFT Approach for Reducing Switching Activity during Scan Shift

Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueIJERA Editor
 
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test ActivityTechniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test ActivityIJTET Journal
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...IJERA Editor
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...ijceronline
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesIJECEIAES
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
 
Iaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd Iaetsd
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingIJERA Editor
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGEditor IJMTER
 
Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...eSAT Publishing House
 

Similaire à DFT Approach for Reducing Switching Activity during Scan Shift (20)

Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft Technique
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test ActivityTechniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
 
40120140506006
4012014050600640120140506006
40120140506006
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
H010613642
H010613642H010613642
H010613642
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
Cn32556558
Cn32556558Cn32556558
Cn32556558
 
Iaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determination
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Implementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSRImplementation of Low Power Test Pattern Generator Using LFSR
Implementation of Low Power Test Pattern Generator Using LFSR
 
Cg34503507
Cg34503507Cg34503507
Cg34503507
 
P358387
P358387P358387
P358387
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
 
Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...Fpga implementation of run length encoding with new formulated codeword gener...
Fpga implementation of run length encoding with new formulated codeword gener...
 

Dernier

Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .Alan Dix
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenHervé Boutemy
 

Dernier (20)

Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data PrivacyTrustArc Webinar - How to Build Consumer Trust Through Data Privacy
TrustArc Webinar - How to Build Consumer Trust Through Data Privacy
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
DevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache MavenDevoxxFR 2024 Reproducible Builds with Apache Maven
DevoxxFR 2024 Reproducible Builds with Apache Maven
 

DFT Approach for Reducing Switching Activity during Scan Shift

  • 1. P. Giribabu et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072 RESEARCH ARTICLE www.ijera.com OPEN ACCESS DFT-Based Approach for Reducing Switching Activity during Scan Shift P. Giribabu*, G. Sunil** *(Dept. of E.C.E, SVPCET, Puttur) ** (M. Tech, Assoc. Professor, Dept. of E.C.E, SVPCET, Puttur) ABSTRACT Power dissipation in digital circuits during scan based test is generally much higher than that during functional operation. Unfortunately, this increased test power can create hot spots that may damage the silicon, the bonding wires, and even the package. It can also cause intensive erosion of conductors-severely decreasing the reliability of a device. Finally, excessive test power may also result in extra yield loss. To address these issues, this paper first presents a detailed investigation of a benchmark circuit’s switching activity during different modes of operation. Specifically, the average number of transitions in the combinational logic of a benchmark circuit during scan shift is found to be approximately 2.5 times more than the average number of transitions during the circuit’s normal functional operation. A DFT-based approach for reducing circuit switching activity during scan shift is proposed. Instead of inserting additional logic at the gate level that may introduce additional delay on critical paths, the proposed method modifies the design at the register transfer level (RTL) and uses the synthesis tools to automatically deal with timing analysis and optimization. Keywords - Automatic test pattern generation (ATPG), design for testability (DFT), functional power, powersensitive scan cell, scan-based test. I. INTRODUCTION With Current advances in very large scale integrated technology (VLSI), the sensitivity of today’s chips to deep submicrometer effects is increasing. Along with technology scaling, the increase in the operating frequency and the increase in the functional density of today’s digital designs has led to new challenges for designers and test engineers. Furthermore, dynamic power consumption and IRdrop due to excessive switching activity are critical challenges. As a result, power reduction techniques have been extensively studied by both industry and academia with respect to both design and test. Scan-based test remains one of the most widely accepted design-for-test techniques because it significantly improves the controllability and the observability of the circuit’s internal nodes with an insignificant area and performance overhead. When applying scan-based tests, test stimuli/test responses are loaded into/unloaded from the scan chain during scan shift. During capture, the scan cell contents are updated by applying capture clock(s). Unfortunately, witching activity during scanbased test is often much higher than that during normal operation. There are multiple reasons for this phenomenon. First, the test vectors applied consecutively are not correlated. Second, nonfunctional states may be traversed during scantest. Furthermore, test compaction and testing multiple cores simultaneously contribute toward highswitching activity. In addition, as patterns are shifted www.ijera.com into and out of the scan chains, multiple changes of the flip-flop values can propagate into the combinational logic and cause massive amounts of switching. Excessive switching activity during test may destroy the chip, or decrease the reliability of the chip. It may also cause the chip to overheat and may lead to yield loss. Under such conditions, the design may fail to meet aggressive timing requirements when the supply voltage of the transistors is reduced by excessive IR-drop. As a result, a chip that would perform well during normal operation may be rejected during test, causing yield loss. Power dissipation during scan test is analyzed in two categories depending on the clock cycles of interest. The test power consumed during scan shift cycles and capture cycles is referred to as the shift power and the capture power, respectively. During scan shift, the test stimuli are shifted into the scan chains one bit at a time, and they create transitions at the scan cell outputs that are further rippled through the combinational part of the circuit. In this paper, we focus our study on reducing shift power dissipation in scan-based tests through the insertion of additional logic at the register transfer level (RTL). The additional logic blocks the scan-cell transitions from propagating to the combinational logic in order to reduce the shift power consumption. The uniqueness of this method lies in the fact that the insertion of the extra hardware is performed at the RTL so that design constraints such as timing can be handled automatically by the synthesis tool. 1068 | P a g e
  • 2. P. Giribabu et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072 II. EXISTING SYSTEM To reduce the switching activity during scan shift, many different approaches have been proposed in literature. These approaches can be classified into two main categories: 1) automatic test pattern generation (ATPG)-based solutions; and 2) DFTbased solutions. The ATPG-based solutions attempt to reduce the test power dissipation during test generation, and they include nonrandom X-filling techniques, best primary input change time, application of a special input control pattern, test vector reordering, selection of the optimal test sequence, and power-aware test pattern generation algorithms etc. Chandra and Kapurt proposed a bounded adjacent X-fill technique where they studied the correlation between the scan-in test stimulus and the scan out test responses in order to reduce the shift and capture cycles’ switching activity. The advantage of the ATPG based solutions is that they do not modify the original design and the scan architecture. DFT-based solutions require one to either partition the conventional scan chain architecture or insert additional hardware into the design. The conventional scan architecture into a modified scan structure. The technique transforms a single scan path into a scan path with any number of length-balanced separate scan segments where only a certain number of the scan segments are enabled for each shift clock. The multiple-phase clock scan technique (MPC-SCAN) inserts some additional logic into the conventional scan structure in order to generate multiple scan clocks that are out of phase with each other such that every scan chain will be controlled with a different scan clock. The simultaneous shift Operation of the multiple scan chains is blocked by the multiple phase clocks. The propagation of the test vectors in the compatible scan chain is done by a data copying procedure, where the first scan cell in the compatible scan segment gets its value from the scanin input and holds it for certain number of clock cycles. During this hold time all the other subsequent scan cells in the same compatible scan segment will get assigned to their values by copying them from their upstream scan cells in the same compatible scan segment. The switching activity caused by shift of the test vectors into the scan chain is reduced by the data copying operation. All the scan cells that are incompatible with each other grouped into a single incompatible scan segment. The test vector propagation on the incompatible scan segments is done by regular shift operation. The main disadvantage of these approaches is the large area overhead. Moreover, they may degrade circuit performance due to the extra logic added between the scan cell outputs and the functional logic. The supply gating transistors are placed on every gate that is directly driven by a scan cell. This technique reduces both dynamic and leakage power dissipation during shift mode. Given a www.ijera.com www.ijera.com set of test patterns, logic simulation is carried out to identify the violating shift cycles in which peak power violations occur. By using integer linear programming (ILP) techniques, the optimization problem is solved to select as few test points as possible such that all violating cycles can be eliminated. The disadvantages of this method are twofold: 1) inserted test points are test set dependent, therefore, violating cycles may not be eliminated when the test set is changed; 2) solving an ILP problem with a constraint matrix of the size of Vc×2S is not applicable to large industrial circuits, where Vc is the total number of violating cycles, and S is the total number of scan cells. A medium size industrial circuit typically contains several hundred thousand scan cells. When simulating a random vector, the primary inputs and the pseudo-primary inputs (PPI) are set to the value X with pre-specified probabilities, and the number of gates becoming X after the change is used as a cost function to identify the logic value assigned at the primary inputs and the PPI, as well as to select scan cells to be held during scan shifting. To explore several hundred thousands of scan cells in an industrial circuit, a significant number of random vectors need to be simulated in order to choose good test points. III. COMPARISON OF THE SWITCHING ACTIVITY DURING TEST AND FUNCTIONAL MODES Compared to the power dissipation during normal operation, the research in low-power testing has highlighted that the increase in power dissipation during scan test is a significant problem for testing. However, a quantitative study of the switching activity during test as opposed to functional mode has not been published to the best of our knowledge. Thus, in this section, we present a quantitative analysis of the nature of the switching activity for an example circuit during test and functional modes. The example circuit is a benchmark circuit obtained from http://opencores.org/ and its function is to transform colors such as CIE XYZ↔RGB or RGB↔YCbCr. Fig. 1 shows the simulation flow for the functional inputs. The analysis flow for functional inputs is shown in Fig. 1. In this flow, an industrial synthesis tool is first used to synthesize the benchmark circuit from the RTL description to the gate level net list. During synthesis, the timing characteristics of the standard library cells are considered in order to allow comprehensive switching activity analysis carried out later at the gate level. Our switching activity analysis flow only considers the timing information of the standard cells and the effect of the applied input patterns. More detailed switching activity analysis including the switching capacitance values of the cells can be performed if the layout information of the design is available. 1069 | P a g e
  • 3. P. Giribabu et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072 Fig. 1. Analysis flow for functional inputs. After the gate level net list is created, we used ModelSimTM, to simulate the net list operated in functional mode. The test bench reads an ASCII coded input file that represents a picture and performs the color transformation. An ASCII coded output file is created after approximately 25000 clock cycles. When running the test bench, we made ModelSimTM generate a value change dump (VCD) file in order to record all the signal value changes at every gate that occurred during simulation. The VCD file was processed by a script developed in house to analyze the distribution of the switching activity for all the nets over any specified time slot. In Table I, we show the average number of transitions per clock cycle at combinational library cell outputs and flip-flop outputs, respectively, after dividing the whole functional simulation into five time slots, 5000 clock cycles per slot. The average numbers of transitions per clock cycle over five time slots are given on the row Average. Next, we collected the switching activity during test mode for both shift and capture cycles. The analysis flow is shown in Fig. 2. Similar to the flow shown in Fig. 1, we first used the synthesis tool to create a gate level net list from the RTL description. We then ran the scan insertion tool to create the scan chain and the ATPG tool to generate 142 scan test patterns based on the stuck-at fault model. ModelSimTM was used next to simulate the test patterns according to the order they are generated. It is worth pointing out that we simulate the simultaneous scan in and scan out of adjacent patterns in order to collect accurate simulation data during test. Another VCD file was created during simulation for switching activity analysis. The results of the switching activity analysis for the ATPG test patterns are shown in Table II. The average number of transitions per clock cycle at the combinational library cell outputs and the flip-flop outputs are listed in the rows Shift and Capture for the scan shift and capture, respectively. Comparing the switching activity between Tables I and II, it can be seen that the average number of transitions per clock cycle during scan shift is 2.3 and 2 times larger than that during normal operation for the combinational library cells and the flip-flop outputs, respectively. When considering the switching activity during capture, the ratios become higher, and they are 4.75 and 2 times larger than the switching activity during normal operation for the combinational library cells and the flip-flop outputs, respectively. Although the number of transitions per clock cycle during scan shift is much lower than that during capture, it is worth pointing out that the number of shift cycles used to shift in a scan test pattern is typically much larger that the number of capture cycles in the same pattern. Therefore, heat accumulating during scan shift may damage the chip under test and cause the incorrect values captured into www.ijera.com www.ijera.com scan cell during capture. Reducing scan shift power is one of the major problems during test. Motivated by the switching activity analysis for functional and test modes shown above, a novel and effective method for reducing the switching activity during scan shift at RTL will be described in the next sections. IV. PROPOSED SYSTEM To significantly reduce scan shift power while minimizing extra hardware overhead, the approach uses the method described in the previous section to identify a small set of power-sensitive cells and their frozen values. Then, it modifies the circuit by replacing identified power-sensitive scan cells by frozen scan cells. A scan cell is said to be frozen during scan shift if an additional gate is inserted at the scan cell output and the logic value at the additional gate holds constantly during scan shift. Fig. 4(a) shows a scan cell without inserting an additional gate between the scan cell output and the functional logic it drives. Fig. 4(b) shows a frozen scan cell whose frozen value is logic 0. During scan shift, the scan enable signal Scan−en is asserted to 1 and the output value at the additional AND gate holds to 0. During capture and normal operation, Scan−en is disserted to 0 and the output of the scan cell drives the functional logic directly. Similarly, an additional OR gate can be inserted to freeze the scan cell to 1. If the timing closure becomes invalid due to the change, one cannot insert the additional gate at that scan cell output, and hence the next most powersensitive scan cell will be selected and evaluated. The problem of violating timing closure may prevent this method from being adopted in a practical design flow because: 1) re-evaluating timing is a tedious task; and 2) if the most power-sensitive scan cells happen to be on critical paths with small timing slacks, we cannot take advantage of these cells to reduce scan shift power. To solve the problems mentioned above, a different flow to take advantage of power-sensitive scan cells for scan shift power reduction in this section. Instead of inserting the additional gates after the synthesis step, we move the circuit modification step to the RTL before synthesis. We rely on synthesis tools to meet the timing closure while allowing the freezing of power-sensitive scan cells during scan shift. In the proposed flow, we need to address two issues: 1) How to match the powersensitive scan cells to the corresponding RTL bits; and (2) how to modify the RTL codes to freeze the power-sensitive cells. 3.1. Identifying Power-Sensitive RTL Bits Since many designs in RTL are described in behavior rather than structure, directly extending the probability-based algorithm described in Section IV to identify the power-sensitive state elements defined in RTL is not only an extremely difficult task, but also not always feasible. We propose to quickly 1070 | P a g e
  • 4. P. Giribabu et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072 synthesize the design in RTL to a “prototype” implementation ALPASLAN et al.: ON REDUCING SCAN SHIFT ACTIVITY AT RTL 1115 in gate level first. Then, the algorithm described in Section IV is applied to this prototype gate level net list in order to obtain a list of power-sensitive state elements to be scanned and their preferred frozen value. During synthesis, it is unnecessary to0 optimize the design in terms of performance and area, etc. What we need is a gate level implementation of the design for estimating signal probability. Once the power-sensitive state elements are identified from the prototype gate level net list, we map them back to the signal/variable bits in RTL codes by using hierarchical path names. The mapping is unique since the hierarchical path names in two levels of description must be the same. Then the design in RTL is modified such that the outputs of those power-sensitive signals/variables can hold to predefined values during scan shift. The detailed description of this step will be given in the next subsection. By using the flow proposed above, it is worth mentioning that it is unnecessary to consider how the power-sensitive state elements identified in the RTL are stitched into the scan chain at the gate level. This is a distinct advantage because the power reduction obtained will be fairly constant even if the chains are spliced in different scan modes. The only assumption we made here is that the design will be converted to a full scan design at the gate level. If partial scan is preferred, it is straightforward to change the above flow to ensure that flip-flops that will not be on the scan chain will remain untouched. V. www.ijera.com FIGURES AND TABLES 3.2. Freezing Power-Sensitive RTL Bits To block the transitions that occur at the outputs of the power-sensitive scan cells from propagating to the functional logic, a new primary input, named scan enable, is added into the RTL of the design. This signal can be reused during scan chain insertion at the gate-level after synthesis to control scan shift operation. Next, we create a new “potentially-frozen” Wire that will drive combinational gates, and its value depends on the value captured into the power-sensitive flip-flop during functional operation. Note that special attention must be paid when one or more bits of a multibit signal at the RTL must be frozen. www.ijera.com 1071 | P a g e
  • 5. P. Giribabu et al Int. Journal of Engineering Research and Applications ISSN : 2248-9622, Vol. 3, Issue 6, Nov-Dec 2013, pp.1068-1072 [2] [3] [4] [5] [6] [7] [8] [9] [10] VI. CONCLUSION In this paper, we have presented and analyzed a method for reducing switching activity during scan shift by freezing a small subset of all flipflops at the RTL. We have shown that large reductions in switching activity can be achieved with very low-area overhead. The amount of scan flipflops that are going to be frozen can be decreased or increased depending on the design’s overhead budget. In comparison with previous methods, which freeze these flip-flops at the gate level, timing closure can be more easily met. When flip-flops are frozen at the gate level, individual Timing analysis have to be implemented to determine whether or not each flipflop could be frozen without violating timing. By freezing all flip-flops simultaneously at the RTL,we allow the synthesis tool to automatically optimize for timing closure. REFERENCES [1] P. Girard, “Survey of low-power testing of VLSI circuits,” IEEE Design Test Compute., vol. 19, no. 3, pp. 80–90, May–Jun. 2002. www.ijera.com [11] [12] [13] [14] www.ijera.com S. Ravi, “Power-aware test: Challenges and solutions,” in Proc. Int. Test Conf., Oct. 2007, pp. 1–10. K. M. Butler, J. Saxena, A. Jain, T. Fryars, J. Lewis, and G. Hetherington, “Minimizing power consumption in scan testing: Pattern generation and DFT techniques,” in Proc. Int. Test Conf., Oct. 2004, pp. 355–364. S. Wang and S. Gupta, “An automated test pattern generator for minimizing switching activity during scan testing activity,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 21, no. 8, pp. 954–968, Aug. 2002. R. Sankaralingam, R. R. Oruganti, and N. Touba, “Static compaction techniques to control scan vector power dissipation,” in Proc. VLSI Test Symp., 2000, pp. 35–40. X. Wen, Y. Yamashita, S. Kajihara, L.-T. Wang, K. Saluja, and K. Kinoshita, “On lowcapture power test generation for scan testing,” in Proc. VLSI Test Symp., 2005, pp. 265–270. X. Wen, S. Kajihara, K. Miyase, T. Suzuki, K. Saluja, L.-T. Wang, K. Abdel-Hafez, and K. Kinoshita, “A new ATPG method for efficient capture power reduction during scan testing,” in Proc. VLSI Test Symp.,2006, pp. 60–65. Y.-T. Lin, M.-F. Wu, and J.-L. Huang, “PHSfill: A low power supply noise test pattern generation technique for at-speed scan testing in Huffman coding test compression environment,” in Proc. Asian Test Symp., Nov. 2008, pp. 391–396. S. Kajihara, K. Ishida, and K. Miyase, “Test vector modification for power reduction during scan testing,” in Proc. VLSI Test Symp., 2002, pp. 160–165. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind, P. Spreeprakash, and M. Hachinger, “A case study of IR-drop in structured at-speed testing,” in Proc. Int. Test Conf., Oct. 2003, pp. 1098–1104. W. Li, S. M. Reddy, and I. Pomeranz, “On reducing peak current and power during test,” in Proc. IEEE Comp. Soc. Annu. Symp. VLSI, May 2005, pp. 156–161. S.Remersaro,X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz, and J. Rajski,“Preferred fill: A scalable method to reduce capture power for scan based designs,” in Proc. Int. Test Conf., Oct. 2006, pp. 1–10. N.Badereddine, P. Girard, S. ravossoudovitch, C. Landrault, A. Virazel, and H.-J. Wunderlich, “Structural-based power-aware assignment of don’t cares for peak power reduction during scan testing,” in Proc. IFIP Int. Conf. VLSI, Oct. 2006, pp. 403–408. N. Ahmed, M. Tehranipoor, and V. Jayaram, “Transition delay fault test pattern generation considering supply voltage noise in a SoC design,” in Proc. Design Automat. Conf., Jun. 2007, pp. 533–538. 1072 | P a g e