SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
Rakesh M. R et al Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 5), May 2014, pp.116-121
www.ijera.com 116 | P a g e
Novel Architecture of 17 Bit Address RISC CPU with Pipelining
Technique Using Xilinx in VLSI Technology
Rakesh M. R*
, Ajeya B**
, Mohan A.R***
*M. Tech student, Dept of ECE, Canara Engineering College, Mangalore, Karnataka, India
**Assistant professor, Dept. of ECE, Canara Engineering College, Mangalore, Karnataka, India
***Assistant professor, Dept. of ECE, Canara Engineering College, Mangalore, Karnataka, India
ABSTRACT
This paper describes the design of a 17 bit 4 stage pipelined Reduced Instruction Set Computer (RISC)
processor using Verilog HDL in Xilinx. The processor implements the Harvard memory architecture, so the
instruction and data memory spaces are both physically and logically separate. There is 5 bit opcode with totally
23 set of instructions. The CPU designed by using the pipelining and it will increase speed of processor with
CPI=1. The pipeline stages such as fetch, decode, execute and store are used. The RISC processor architecture
presented in this paper is designed by using Registers, arithmetic and logical unit, Memory with pipeline
techniques. Memory access is done only by using Load and Store instructions.
Keywords: RISC features, Pipelining, ALU, Memory, Load and Store
I. INTRODUCTION
The Processor is a programmable device that
takes in numbers, performs on them arithmetic or
logical operations according to the program stored in
memory and then produces other numbers as a
Result. Processor is also an electronic circuit that
functions as the central processing unit (CPU) of a
computer, providing computational control.
Computers are common and important tools
for everyday activities. With the simple design
technology and the decreasing cost of the integrated
circuit, RISC processor is increasing widely used in
every field. The simple design provides higher
performance, cost-effective, compatible systems.
Some typical applications include: data processing,
scientific and engineering applications and real-time
control. In the present work, the design of a 4-bit data
width Reduced Instruction Set Computer (RISC)
processor is presented. It has a complete instruction
set, program and data memories, general purpose
registers and a simple Arithmetical Logical Unit
(ALU) for basic operations.
At earlier days the RISC processor design is
over using pipeline concept in embedded system
field. But the FPGA having less average complexity
and cost compared to the ASIC. Therefore in recent
days research on the RISC processor design in VLSI
is done.
Verilog HDL has evolved as a standard
hardware description language. A hardware
descriptive language is a language used to describe a
digital system. It means that by using HDL one can
describe any hardware at any level. HDL’s allows
the design to be simulated earlier in the design cycle
in order to correct errors or experiment with different
architectures. Designs described in HDL are
technology-independent, easy to design and debug,
and are usually more readable than schematics,
particularly for large circuits. Verilog is capable of
describing simple behaviour. Machine cycle
instructions allow the processor to handle several
instructions at the same time. The processor can work
at a high clock frequency and thus yields higher
speed. This paper is about design of a simple RISC
processor and synthesizing it. The RISC architecture
follows single-cycle instruction execution.
II. RISC PROCESSOR ARCHITECTURE
RISC architecture has been developed as a
result of the 801 project which started in 1975 at the
IBM T.J.Watson Research Center and was completed
by the early 1980s. This project was not widely
known to the world outside of IBM and two other
projects with similar objectives started in the early
1980s at the University of California Berkeley and
Stanford University. The term RISC used for the
Berkeley research project.
1. RISC features
The RISC Processor works on (features are)
reduced number of Instructions, Simple operations,
only load and store operations access memory and
Rest of the operations on a register-to-register basis,
Pipelined, Simple uniform instructions, fixed
instruction length, No microcode, Many identical
general purpose registers, load-store architecture and
simplified addressing modes which makes individual
instructions execute faster, achieve a net gain in
RESEARCH ARTICLE OPEN ACCESS
Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121
www.ijera.com 117 | P a g e
performance and an overall simpler design. RISC
architecture starts with a small set of most frequently
used instructions which determine the pipeline
structure of the machine enabling fast execution of
those instructions in one cycle. Pipelining, a standard
feature, is an implementation technique used to
improve both CPI (Cycle per Instruction) and overall
system performance. Basically the instructions are
handled in parts: Instruction fetch: get instruction
from memory and increment PC. Instruction decode:
translate opcode into control signals and read
registers. Instruction execute: perform ALU
operation Store result: update register file. The block
diagram of a RISC CPU is shown in Figure1 which
have four stage of pipelining, memory, Register.
Fig1: Block diagram of Pipelined RISC processor
2. RISC & CISC comparison
Processors have traditionally been designed
around two Philosophies: Complex Instruction Set
Computer (CISC) and Reduced Instruction Set
Computer (RISC).
The CISC concept is an approach to the
Instruction Set Architecture (ISA) design that have
wide variety of Addressing modes, the Instructions
are of widely varying lengths and execution times
thus demanding a very complex Control Unit, which
tends to large chip area. On the other hand, the RISC
Processor works on reduced number of Instructions,
fixed Instruction length, more general-purpose
registers, load-store architecture and simplified
Addressing modes which makes individual
instructions execute faster, achieve a net gain in
performance and an overall simpler design with less
silicon consumption as compared to CISC. The
difference between these two RISC and CISC
architecture is shown in Figure2.
Fig2: Difference between RISC and CISC
architecture
3. Overall Operation
Instruction is fetched from physical
memory, RAM. Program counter (PC) is used to
fetch the address of the instruction. This register is
visible to Control unit of CPU but not visible to
programmer. The instruction is copied to Instruction
Register from memory. If the instruction is ADD is
considered, the addition operation is performed on
corresponding registers. This is performed by the unit
ALU. For RISC machine, memory access occurs for
the load and store instructions. For the other
instructions no operation is performed by ALU. Here
data memory is accessed. The result of the operation
being performed by ALU is written to appropriate
register in the register file.
4. Pipelining
Pipelining, a standard feature, is an
implementation technique used to improve both CPI
(Cycle per Instruction) and overall system
performance. Pipelining allows a processor to work
on different steps of the instruction at the same time,
thus more instruction can be executed in a shorter
period of time. The sole purpose of many of those
features is to support an efficient execution of RISC
pipeline. It is clear that without pipelining the goal of
CPI = 1 is not possible.
Clocks per instruction (CPI):
CPI is an effective average. It is averaged
over all of the instruction executions in a program.
CPI is affected by instruction-level parallelism and
by instruction complexity. Without instruction-level
parallelism, simple instructions usually take 4 or
more cycles to execute. Instructions that execute
loops take at least one clock per loop iteration.
Pipelining (overlapping execution of instructions) can
bring the average for simple instructions down to
near 1 clock per instruction. This designed
architecture will tend towards CPI=1.
Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121
www.ijera.com 118 | P a g e
Fig3: instruction execution using Pipelining
technique
The Figure3 shows how instructions are
executed using the pipelining technique. In this paper
four stage of pipeline techniques are used such as
Fetch, Decode, Execute, and Store result.
Instruction Fetch Stage (IF):
In this stage, the content of the Program
Counter is used to access memory and fetch the next
instruction to be executed.
Instruction Decode Stage (ID):
During this stage, the instruction is decoded
and the required operands are retrieved from the
general purpose registers (GPRs).
Execute Stage (EX):
Any calculations are performed during this
stage. This includes effective address calculation for
Load or Store instructions. The next Program
Counter value is also calculated during this stage of
the pipeline so that branches, where applicable, can
be executed.
Store result (ST):
During this stage, the results of the calculation
from the Execute stage, or the memory load from the
Memory Access stage, are updated into the general
purpose registers.
5. Register File
The register file consists of general purpose
registers of 8-bits capacity. These register files are
utilized during the execution of arithmetic and data-
centric instructions. It is fully visible to the
programmer. The load instruction is used to load the
values into the registers and store instruction is used
to retrieve the values back to the memory to obtain
the processed outputs back from the processor. The
Link register is used to hold the addresses of the
corresponding memory locations.
Program Counter:
The program counter (PC) contains the
address of the instruction that will be fetched from
the Instruction memory during the next clock cycle.
Normally, the PC is incremented by one during each
clock cycle unless a branch instruction is executed.
When a branch instruction is encountered, the PC is
incremented by the amount indicated by the branch
offset. The proposed design in this paper consists of 8
bit program counter which are incremented for every
clock cycles.
The Status Register
The status register is an 8-bit register.
Primarily it is updated, however, as a side effect of
the execution of ALU operations. If, for example, an
arithmetic/logic instruction produces a result of 0,
then the zero flag (the second bit in the status
register) is set. An arithmetic overflow in an ADD
instruction causes the carry bit to be set, and so on.
The proposed design consists of 8 bit status register
which stores the carry bit which is generated by
execution unit operations’.
6. Arithmetic Logic Unit
The ALU performs arithmetic and logical
operations on data. The data is taken from two GPRs
and is moved to the ALU. The result is stored in a
GPR. The ALU has the 8-bit inputs A, B and the
output C. The ALU takes two operands from the A
and B registers. The result register C is used to hold
the ALU output. The ALU has the capability to
perform many operations. After every ALU
instruction, the output register is updated.
7. Separate Data Memory and Instruction
Memory access paths
Different stages of the pipeline perform
simultaneous accesses to memory. This Harvard style
of architecture can either be used with two
completely different memory spaces.
Program Memory is implemented as a ROM. Its
content can be preloaded from the file (containing
instructions encoded in hexadecimal form). In this
paper program memory consists of 23 set of
instructions which is accessed by CPU during
operation.
Data Memory is implemented as RAM. Memory
model pre-loads initial data from the Reg file and
dumps its content into file after the simulation is
finished.
8. Design of the ROM
The CPU has a built in ROM which enables
us to program simple code and execute it. The List of
signals in the ROM is: 1. Address: address sent by
the control unit. 2. Data out: the data that is contained
the given address. 3. Clk: the main clock signal. 6.
Reset: the initial reset signal.ROM consists of 23 set
of instructions.
9. Instruction set and instruction formats
Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121
www.ijera.com 119 | P a g e
The various instructions performed by the
processor such as: Arithmetic, Logical, data
processing, data movement, branch, shift.
Branch instructions:
Branch instructions are used to break the
sequence of instructions. A branch instruction
requires two pieces of information that the processor
must determine before executing the instruction,
whether the branch is to be taken and the branch
target address. The one basic types of braches in a
this processor are conditional (such as decisions). In
this designed CPU five types of branch instructions
are taken for process.
Load/Store machine instructions:
If the instruction is a load, then read from
memory using the effective address computed in the
previous cycle. If it is a store, then the data from the
second register read from the register file is written
into memory using the effective address.
RISC Processor Instruction formats: Register.
Addressing modes are aspects of the instruction set
architecture in most central processing unit (CPU)
designs. The different addressing modes in an
instruction set architecture define how machine
language instructions in that architecture identify the
operand (or operands) of each instruction. The
addressing mode used in proposed method is:
Register addressing mode. 17 bit address with opcode
of 5bit and three operands each of 4 bits. The data
width, status register, program counter are of 8 bit. 23
instructions are used in this processor and taken in
ROM memory of this processor.
The instruction format is of register type and is
shown in Figure4. Instruction of 17 bit with opcode
5bit and three operands of each 4 bit.
Fig4: instruction format for sinle RISC processor
The opcode and corresponding operation is
shown in Figure5. The opcode of 5 bit starts from
00000 to 10110 is considered in this design.
Fig5: Instruction set of Processor
The power analysis for the proposed CPU
architecture design is done by using XPower
analyzer. The clock frequency is taken as 100MHZ.
The Figure6 shows the some specification for power
analysis.
Fig6: specification for power analyzer
III. SIMULATION RESULTS
The single RISC processor or CPU is simulated by
using pipeline concept and Xilinx ISE and Modelsim
simulator is used to get results. The Verilog HDL is
used for designing the CPU. The technology
schematic for single RISC processor obtained in
Xilinx is shown in Figure7. The RTL schematic for
single RISC processor obtained in Xilinx is shown in
Figure8.
Fig7: Technological schematic of single RISC
processor
Fig8: RTL schematic of single RISC processor
Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121
www.ijera.com 120 | P a g e
The simulation waveform for Single CPU is
obtained by using Modelsim is shown in Figure9.
Here there is a five input such as clock (1bit), reset
(1bit), input1 (8 bit), input2 (8 bit), ram data (8 bit).
The data read from ram by using load instruction.
The output are op (8 bit), ram write (8 bit).
Fig9: Simulation waveform for single RISC
processor
The design summary shows how much used
from total amount and it shown in terms of numbers.
Utilization of different parts in terms of percentage is
shown in Figure10.
Fig10: Design summary of CPU
The Figure11 shows the power analysis
report for proposed architecture of CPU and it can be
getting by using XPower analyzer in Xilinx. It shows
how much power each part in our design will be
going to use.
Fig11: Results obtained during power analysis power
analysis
IV. ADVANTAGES &
DISADVANTAGES
Advantages of the processor are following:
It has been designed to perform a small set
Instructions, with the aim of increasing the overall
speed of the processor. The design is an attempt to
reduce the instruction time by simplifying the
instruction set of the processor. Disadvantages of the
processor are following: It is used were simple
operations are required for processing data; complex
operations like floating point addition etc. are not
performed.
V. CONCLUSION
17 bit address RISC Processor core has been
design and simulated in Xilinx ise13.1. The design
has been achieved using Verilog and simulated with
Modelsim simulator. Most of the goals were achieved
and simulation shows that the processor is working
perfectly, Future work will be added by increasing
the number of instructions and make a pipelined
design with less clock cycles per instruction and
more improvement can be added in the future work.
REFERENCES
[1] R. Uma, “Design and Performance Analysis
of 8-bit RISC Processor using Xilinx Tool”,
International Journal of Engineering
Research and Applications (IJERA), Vol. 2,
Issue 2, Mar-Apr 2012, pp.053-058
[2] Galani Tina G., Riya Saini and
R.D.Daruwala, “Design and Implementation
of 32 – bit RISC Processor using Xilinx”
International Journal of Emerging Trends in
Electrical and Electronics (IJETEE ), Vol.
5, Issue. 1, July-2013.
[3] Galani Tina R.D.Daruwala, February 2013,
“ Performance Improvement of MIPS
Architecture by Adding New Features” Tina
et al., International Journal of Advanced
Research in Computer Science and Software
Engineering 3(2), February - 2013, pp. 1-6
[4] Samiappa Sakthikumaran,S.Salivahanan and
V.S.Kaanchana Bhaaskaran , “16-Bit RISC
Processor Design For Convolution
Application”, IEEE International
Conference on Recent Trends In Information
Technology, pp.394-397, June 2011.
[5] Rohit Sharma, Vivek Kumar Sehgal, Nitin
Nitin1, Pranav Bhasker, Ishita Verma ,
2009, “Design And Implementation Of 64-
Bit RISC Processor Using VHDL”, UKSim :
11th International Conference on Computer
Modeling And Simulation, pp. 568 – 573.
[6] Rupali S. Balpande and Rashmi S.
Keote.2011, “Design of FPGA based
Instruction Fetch & Decode Module of 32-
bit RISC (MIPS) Processor”, International
Conference on Communication Systems and
Network Technologies, pp. 409 – 413
[7] Xiao Li, Longwei Ji, Bo Shen, Wenhong Li,
Qianling Zhang, “VLSI implementation of a
High-performance 32-bit RISC
Microprocessor”, IEEE 2002 International
Conference on Communications, Circuits
Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121
www.ijera.com 121 | P a g e
and Systems and West Sino Expositions,
Volume 2, 2002 ,pp.1458 – 1461.
BIOGRAPHIES
Mr. Rakesh M.R received his B.E
degree in Electronics and
Communication from KVG College
of Engineering Sullia in 2012.
Currently he is pursuing M.Tech
degree in Electronics at Canara
Engineering College, Mangalore.
His areas of interest are VLSI and Image Processing.
Mr. Ajeya B. Obtained his M.Tech
degree in Digital Electronics and
Communication from NMAM
Institute of technology, Nitte in
2010 and B.E. degree from UBDT
College of Engineering, Davangere
in 2007. Currently he is working as
an Asst. Professor in the Department of E&C, Canara
Engineering College, Mangalore. His areas of interest
include Wireless Sensor Networks, Cryptography and
Digital Communication.
Mr. Mohan A.R received his
M.Tech degree in VLSI Design and
Embedded Systems from SJB
Institute of technology, Bangalore
in 2011 and B.E. degree from
Coorg Institute of Technology,
Ponnampet in 2007. Currently he is working as an
Asst. Professor in the Department of E&C, Canara
Engineering College, Mangalore. His area of interest
is Digital VLSI Design.

Contenu connexe

Tendances

16bit RISC Processor
16bit RISC Processor16bit RISC Processor
16bit RISC ProcessorShashi Suman
 
Reduced instruction set computers
Reduced instruction set computersReduced instruction set computers
Reduced instruction set computersSyed Zaid Irshad
 
Risc and cisc eugene clewlow
Risc and cisc   eugene clewlowRisc and cisc   eugene clewlow
Risc and cisc eugene clewlowManish Prajapati
 
Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) A B Shinde
 
Advanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPAdvanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPA B Shinde
 
Dsdco IE: RISC and CISC architectures and design issues
Dsdco IE: RISC and CISC architectures and design issuesDsdco IE: RISC and CISC architectures and design issues
Dsdco IE: RISC and CISC architectures and design issuesHome
 
Instruction Level Parallelism (ILP) Limitations
Instruction Level Parallelism (ILP) LimitationsInstruction Level Parallelism (ILP) Limitations
Instruction Level Parallelism (ILP) LimitationsJose Pinilla
 
Risc and cisc eugene clewlow
Risc and cisc   eugene clewlowRisc and cisc   eugene clewlow
Risc and cisc eugene clewlowChaudhary Manzoor
 
RISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van NeumannRISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van NeumannRavikumar Tiwari
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticIRJET Journal
 
Central processing unit
Central processing unitCentral processing unit
Central processing unitKamal Acharya
 
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET Journal
 
Cse viii-advanced-computer-architectures-06cs81-solution
Cse viii-advanced-computer-architectures-06cs81-solutionCse viii-advanced-computer-architectures-06cs81-solution
Cse viii-advanced-computer-architectures-06cs81-solutionShobha Kumar
 

Tendances (20)

16bit RISC Processor
16bit RISC Processor16bit RISC Processor
16bit RISC Processor
 
Reduced instruction set computers
Reduced instruction set computersReduced instruction set computers
Reduced instruction set computers
 
Risc and cisc eugene clewlow
Risc and cisc   eugene clewlowRisc and cisc   eugene clewlow
Risc and cisc eugene clewlow
 
Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism) Pipelining and ILP (Instruction Level Parallelism)
Pipelining and ILP (Instruction Level Parallelism)
 
Presentation on risc pipeline
Presentation on risc pipelinePresentation on risc pipeline
Presentation on risc pipeline
 
A044050107
A044050107A044050107
A044050107
 
Hg3612911294
Hg3612911294Hg3612911294
Hg3612911294
 
Advanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILPAdvanced Techniques for Exploiting ILP
Advanced Techniques for Exploiting ILP
 
Dsdco IE: RISC and CISC architectures and design issues
Dsdco IE: RISC and CISC architectures and design issuesDsdco IE: RISC and CISC architectures and design issues
Dsdco IE: RISC and CISC architectures and design issues
 
Risc and cisc
Risc and ciscRisc and cisc
Risc and cisc
 
Instruction Level Parallelism (ILP) Limitations
Instruction Level Parallelism (ILP) LimitationsInstruction Level Parallelism (ILP) Limitations
Instruction Level Parallelism (ILP) Limitations
 
Risc and cisc eugene clewlow
Risc and cisc   eugene clewlowRisc and cisc   eugene clewlow
Risc and cisc eugene clewlow
 
1.prallelism
1.prallelism1.prallelism
1.prallelism
 
RISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van NeumannRISC Vs CISC, Harvard v/s Van Neumann
RISC Vs CISC, Harvard v/s Van Neumann
 
Review paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmeticReview paper on 32-BIT RISC processor with floating point arithmetic
Review paper on 32-BIT RISC processor with floating point arithmetic
 
Central processing unit
Central processing unitCentral processing unit
Central processing unit
 
Tibor
TiborTibor
Tibor
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
 
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDLIRJET-  	  Design of Low Power 32- Bit RISC Processor using Verilog HDL
IRJET- Design of Low Power 32- Bit RISC Processor using Verilog HDL
 
Cse viii-advanced-computer-architectures-06cs81-solution
Cse viii-advanced-computer-architectures-06cs81-solutionCse viii-advanced-computer-architectures-06cs81-solution
Cse viii-advanced-computer-architectures-06cs81-solution
 

En vedette

Pedagogia Critica Epocas
Pedagogia Critica EpocasPedagogia Critica Epocas
Pedagogia Critica Epocasguest29c167
 
Centro Cultural \"IRIE\" - Movimiento Juvenil de Álvarez
Centro Cultural \"IRIE\" - Movimiento Juvenil de ÁlvarezCentro Cultural \"IRIE\" - Movimiento Juvenil de Álvarez
Centro Cultural \"IRIE\" - Movimiento Juvenil de Álvarezguestba520c
 
A caridade pelos olhos do espírito
A caridade pelos olhos do espíritoA caridade pelos olhos do espírito
A caridade pelos olhos do espíritoHelio Cruz
 
Devemos agradecer nossos sofrimentos
Devemos agradecer nossos sofrimentosDevemos agradecer nossos sofrimentos
Devemos agradecer nossos sofrimentosHelio Cruz
 
1478 el cabildo-(menudospeques.net)
1478 el cabildo-(menudospeques.net)1478 el cabildo-(menudospeques.net)
1478 el cabildo-(menudospeques.net)Menudos Peques
 
德國專業攝影精品
德國專業攝影精品德國專業攝影精品
德國專業攝影精品Jaing Lai
 
Portafolio Digital
Portafolio DigitalPortafolio Digital
Portafolio Digitaleducadoras
 
Memorial convento matemática_final
Memorial convento matemática_finalMemorial convento matemática_final
Memorial convento matemática_finalrenatoveiga96
 
Relaciones Cirujano Dentista
Relaciones Cirujano DentistaRelaciones Cirujano Dentista
Relaciones Cirujano Dentistaguesta084da
 
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded Plans
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded PlansHealth Decisions Webinar: Obamacare Compliance: How it Helps Self-funded Plans
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded PlansSi Nahra
 
碎言瑣語亦有味
碎言瑣語亦有味碎言瑣語亦有味
碎言瑣語亦有味Jaing Lai
 
Acst - Arak
Acst - ArakAcst - Arak
Acst - Arakgesang
 

En vedette (20)

Pedagogia Critica Epocas
Pedagogia Critica EpocasPedagogia Critica Epocas
Pedagogia Critica Epocas
 
Mudanzas
MudanzasMudanzas
Mudanzas
 
Centro Cultural \"IRIE\" - Movimiento Juvenil de Álvarez
Centro Cultural \"IRIE\" - Movimiento Juvenil de ÁlvarezCentro Cultural \"IRIE\" - Movimiento Juvenil de Álvarez
Centro Cultural \"IRIE\" - Movimiento Juvenil de Álvarez
 
Fotosgenerales Dos
Fotosgenerales DosFotosgenerales Dos
Fotosgenerales Dos
 
A caridade pelos olhos do espírito
A caridade pelos olhos do espíritoA caridade pelos olhos do espírito
A caridade pelos olhos do espírito
 
Devemos agradecer nossos sofrimentos
Devemos agradecer nossos sofrimentosDevemos agradecer nossos sofrimentos
Devemos agradecer nossos sofrimentos
 
1478 el cabildo-(menudospeques.net)
1478 el cabildo-(menudospeques.net)1478 el cabildo-(menudospeques.net)
1478 el cabildo-(menudospeques.net)
 
德國專業攝影精品
德國專業攝影精品德國專業攝影精品
德國專業攝影精品
 
Bienvenida Cris
Bienvenida CrisBienvenida Cris
Bienvenida Cris
 
Portafolio Digital
Portafolio DigitalPortafolio Digital
Portafolio Digital
 
Memorial convento matemática_final
Memorial convento matemática_finalMemorial convento matemática_final
Memorial convento matemática_final
 
Relaciones Cirujano Dentista
Relaciones Cirujano DentistaRelaciones Cirujano Dentista
Relaciones Cirujano Dentista
 
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded Plans
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded PlansHealth Decisions Webinar: Obamacare Compliance: How it Helps Self-funded Plans
Health Decisions Webinar: Obamacare Compliance: How it Helps Self-funded Plans
 
碎言瑣語亦有味
碎言瑣語亦有味碎言瑣語亦有味
碎言瑣語亦有味
 
El Tren De La Vida
El Tren De La VidaEl Tren De La Vida
El Tren De La Vida
 
Blanquita[1]
Blanquita[1]Blanquita[1]
Blanquita[1]
 
Listado de Autoridades Bs As completo
Listado de Autoridades Bs As completoListado de Autoridades Bs As completo
Listado de Autoridades Bs As completo
 
Amor venceu
Amor venceuAmor venceu
Amor venceu
 
Acst - Arak
Acst - ArakAcst - Arak
Acst - Arak
 
14 Proyecto5 2
14 Proyecto5 214 Proyecto5 2
14 Proyecto5 2
 

Similaire à W04505116121

Computer Organization.pptx
Computer Organization.pptxComputer Organization.pptx
Computer Organization.pptxsaimagul310
 
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfCS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfAsst.prof M.Gokilavani
 
Implementation of RISC-Based Architecture for Low power applications
Implementation of RISC-Based Architecture for Low power applicationsImplementation of RISC-Based Architecture for Low power applications
Implementation of RISC-Based Architecture for Low power applicationsIOSR Journals
 
Advanced Processor Power Point Presentation
Advanced Processor  Power Point  PresentationAdvanced Processor  Power Point  Presentation
Advanced Processor Power Point PresentationPrashantYadav931011
 
Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueIOSR Journals
 
Risc processors all syllabus5
Risc processors all syllabus5Risc processors all syllabus5
Risc processors all syllabus5faiyaz_vt
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSIRJET Journal
 
Area Optimized Implementation For Mips Processor
Area Optimized Implementation For Mips ProcessorArea Optimized Implementation For Mips Processor
Area Optimized Implementation For Mips ProcessorIOSR Journals
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMjournalBEEI
 
Design and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGADesign and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGAIJERA Editor
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSIRJET Journal
 
A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL Andrew Yoila
 
A novel mrp so c processor for dispatch time curtailment
A novel mrp so c processor for dispatch time curtailmentA novel mrp so c processor for dispatch time curtailment
A novel mrp so c processor for dispatch time curtailmenteSAT Publishing House
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorVLSICS Design
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 

Similaire à W04505116121 (20)

Computer Organization.pptx
Computer Organization.pptxComputer Organization.pptx
Computer Organization.pptx
 
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdfCS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
CS304PC:Computer Organization and Architecture UNIT V_merged_merged.pdf
 
Ef35745749
Ef35745749Ef35745749
Ef35745749
 
Implementation of RISC-Based Architecture for Low power applications
Implementation of RISC-Based Architecture for Low power applicationsImplementation of RISC-Based Architecture for Low power applications
Implementation of RISC-Based Architecture for Low power applications
 
CISC & RISC Architecture
CISC & RISC Architecture CISC & RISC Architecture
CISC & RISC Architecture
 
Advanced Processor Power Point Presentation
Advanced Processor  Power Point  PresentationAdvanced Processor  Power Point  Presentation
Advanced Processor Power Point Presentation
 
arm-cortex-a8
arm-cortex-a8arm-cortex-a8
arm-cortex-a8
 
Design & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining TechniqueDesign & Simulation of RISC Processor using Hyper Pipelining Technique
Design & Simulation of RISC Processor using Hyper Pipelining Technique
 
Risc processors all syllabus5
Risc processors all syllabus5Risc processors all syllabus5
Risc processors all syllabus5
 
Design and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPSDesign and development of a 5-stage Pipelined RISC processor based on MIPS
Design and development of a 5-stage Pipelined RISC processor based on MIPS
 
Area Optimized Implementation For Mips Processor
Area Optimized Implementation For Mips ProcessorArea Optimized Implementation For Mips Processor
Area Optimized Implementation For Mips Processor
 
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIMAn Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
An Enhanced FPGA Based Asynchronous Microprocessor Design Using VIVADO and ISIM
 
Design and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGADesign and Implementation of Quintuple Processor Architecture Using FPGA
Design and Implementation of Quintuple Processor Architecture Using FPGA
 
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORSA REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
A REVIEW ON ANALYSIS OF 32-BIT AND 64-BIT RISC PROCESSORS
 
A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL A 64-Bit RISC Processor Design and Implementation Using VHDL
A 64-Bit RISC Processor Design and Implementation Using VHDL
 
A novel mrp so c processor for dispatch time curtailment
A novel mrp so c processor for dispatch time curtailmentA novel mrp so c processor for dispatch time curtailment
A novel mrp so c processor for dispatch time curtailment
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
20120140502007 2-3
20120140502007 2-320120140502007 2-3
20120140502007 2-3
 

Dernier

Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...DianaGray10
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioChristian Posta
 
Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.YounusS2
 
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...Aggregage
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024D Cloud Solutions
 
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdf
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdfIaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdf
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdfDaniel Santiago Silva Capera
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxGDSC PJATK
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7DianaGray10
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Brian Pichman
 
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IES VE
 
Salesforce Miami User Group Event - 1st Quarter 2024
Salesforce Miami User Group Event - 1st Quarter 2024Salesforce Miami User Group Event - 1st Quarter 2024
Salesforce Miami User Group Event - 1st Quarter 2024SkyPlanner
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Adtran
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureEric D. Schabell
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesDavid Newbury
 
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1DianaGray10
 
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfDianaGray10
 
20230202 - Introduction to tis-py
20230202 - Introduction to tis-py20230202 - Introduction to tis-py
20230202 - Introduction to tis-pyJamie (Taka) Wang
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfAijun Zhang
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfJamie (Taka) Wang
 

Dernier (20)

Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and Istio
 
Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.
 
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
The Data Metaverse: Unpacking the Roles, Use Cases, and Tech Trends in Data a...
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024
 
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdf
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdfIaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdf
IaC & GitOps in a Nutshell - a FridayInANuthshell Episode.pdf
 
Cybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptxCybersecurity Workshop #1.pptx
Cybersecurity Workshop #1.pptx
 
UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7UiPath Studio Web workshop series - Day 7
UiPath Studio Web workshop series - Day 7
 
Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )Building Your Own AI Instance (TBLC AI )
Building Your Own AI Instance (TBLC AI )
 
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
IESVE Software for Florida Code Compliance Using ASHRAE 90.1-2019
 
Salesforce Miami User Group Event - 1st Quarter 2024
Salesforce Miami User Group Event - 1st Quarter 2024Salesforce Miami User Group Event - 1st Quarter 2024
Salesforce Miami User Group Event - 1st Quarter 2024
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability Adventure
 
Linked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond OntologiesLinked Data in Production: Moving Beyond Ontologies
Linked Data in Production: Moving Beyond Ontologies
 
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1UiPath Platform: The Backend Engine Powering Your Automation - Session 1
UiPath Platform: The Backend Engine Powering Your Automation - Session 1
 
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdfUiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
UiPath Solutions Management Preview - Northern CA Chapter - March 22.pdf
 
20230104 - machine vision
20230104 - machine vision20230104 - machine vision
20230104 - machine vision
 
20230202 - Introduction to tis-py
20230202 - Introduction to tis-py20230202 - Introduction to tis-py
20230202 - Introduction to tis-py
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdf
 
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
activity_diagram_combine_v4_20190827.pdfactivity_diagram_combine_v4_20190827.pdf
 

W04505116121

  • 1. Rakesh M. R et al Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 5), May 2014, pp.116-121 www.ijera.com 116 | P a g e Novel Architecture of 17 Bit Address RISC CPU with Pipelining Technique Using Xilinx in VLSI Technology Rakesh M. R* , Ajeya B** , Mohan A.R*** *M. Tech student, Dept of ECE, Canara Engineering College, Mangalore, Karnataka, India **Assistant professor, Dept. of ECE, Canara Engineering College, Mangalore, Karnataka, India ***Assistant professor, Dept. of ECE, Canara Engineering College, Mangalore, Karnataka, India ABSTRACT This paper describes the design of a 17 bit 4 stage pipelined Reduced Instruction Set Computer (RISC) processor using Verilog HDL in Xilinx. The processor implements the Harvard memory architecture, so the instruction and data memory spaces are both physically and logically separate. There is 5 bit opcode with totally 23 set of instructions. The CPU designed by using the pipelining and it will increase speed of processor with CPI=1. The pipeline stages such as fetch, decode, execute and store are used. The RISC processor architecture presented in this paper is designed by using Registers, arithmetic and logical unit, Memory with pipeline techniques. Memory access is done only by using Load and Store instructions. Keywords: RISC features, Pipelining, ALU, Memory, Load and Store I. INTRODUCTION The Processor is a programmable device that takes in numbers, performs on them arithmetic or logical operations according to the program stored in memory and then produces other numbers as a Result. Processor is also an electronic circuit that functions as the central processing unit (CPU) of a computer, providing computational control. Computers are common and important tools for everyday activities. With the simple design technology and the decreasing cost of the integrated circuit, RISC processor is increasing widely used in every field. The simple design provides higher performance, cost-effective, compatible systems. Some typical applications include: data processing, scientific and engineering applications and real-time control. In the present work, the design of a 4-bit data width Reduced Instruction Set Computer (RISC) processor is presented. It has a complete instruction set, program and data memories, general purpose registers and a simple Arithmetical Logical Unit (ALU) for basic operations. At earlier days the RISC processor design is over using pipeline concept in embedded system field. But the FPGA having less average complexity and cost compared to the ASIC. Therefore in recent days research on the RISC processor design in VLSI is done. Verilog HDL has evolved as a standard hardware description language. A hardware descriptive language is a language used to describe a digital system. It means that by using HDL one can describe any hardware at any level. HDL’s allows the design to be simulated earlier in the design cycle in order to correct errors or experiment with different architectures. Designs described in HDL are technology-independent, easy to design and debug, and are usually more readable than schematics, particularly for large circuits. Verilog is capable of describing simple behaviour. Machine cycle instructions allow the processor to handle several instructions at the same time. The processor can work at a high clock frequency and thus yields higher speed. This paper is about design of a simple RISC processor and synthesizing it. The RISC architecture follows single-cycle instruction execution. II. RISC PROCESSOR ARCHITECTURE RISC architecture has been developed as a result of the 801 project which started in 1975 at the IBM T.J.Watson Research Center and was completed by the early 1980s. This project was not widely known to the world outside of IBM and two other projects with similar objectives started in the early 1980s at the University of California Berkeley and Stanford University. The term RISC used for the Berkeley research project. 1. RISC features The RISC Processor works on (features are) reduced number of Instructions, Simple operations, only load and store operations access memory and Rest of the operations on a register-to-register basis, Pipelined, Simple uniform instructions, fixed instruction length, No microcode, Many identical general purpose registers, load-store architecture and simplified addressing modes which makes individual instructions execute faster, achieve a net gain in RESEARCH ARTICLE OPEN ACCESS
  • 2. Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121 www.ijera.com 117 | P a g e performance and an overall simpler design. RISC architecture starts with a small set of most frequently used instructions which determine the pipeline structure of the machine enabling fast execution of those instructions in one cycle. Pipelining, a standard feature, is an implementation technique used to improve both CPI (Cycle per Instruction) and overall system performance. Basically the instructions are handled in parts: Instruction fetch: get instruction from memory and increment PC. Instruction decode: translate opcode into control signals and read registers. Instruction execute: perform ALU operation Store result: update register file. The block diagram of a RISC CPU is shown in Figure1 which have four stage of pipelining, memory, Register. Fig1: Block diagram of Pipelined RISC processor 2. RISC & CISC comparison Processors have traditionally been designed around two Philosophies: Complex Instruction Set Computer (CISC) and Reduced Instruction Set Computer (RISC). The CISC concept is an approach to the Instruction Set Architecture (ISA) design that have wide variety of Addressing modes, the Instructions are of widely varying lengths and execution times thus demanding a very complex Control Unit, which tends to large chip area. On the other hand, the RISC Processor works on reduced number of Instructions, fixed Instruction length, more general-purpose registers, load-store architecture and simplified Addressing modes which makes individual instructions execute faster, achieve a net gain in performance and an overall simpler design with less silicon consumption as compared to CISC. The difference between these two RISC and CISC architecture is shown in Figure2. Fig2: Difference between RISC and CISC architecture 3. Overall Operation Instruction is fetched from physical memory, RAM. Program counter (PC) is used to fetch the address of the instruction. This register is visible to Control unit of CPU but not visible to programmer. The instruction is copied to Instruction Register from memory. If the instruction is ADD is considered, the addition operation is performed on corresponding registers. This is performed by the unit ALU. For RISC machine, memory access occurs for the load and store instructions. For the other instructions no operation is performed by ALU. Here data memory is accessed. The result of the operation being performed by ALU is written to appropriate register in the register file. 4. Pipelining Pipelining, a standard feature, is an implementation technique used to improve both CPI (Cycle per Instruction) and overall system performance. Pipelining allows a processor to work on different steps of the instruction at the same time, thus more instruction can be executed in a shorter period of time. The sole purpose of many of those features is to support an efficient execution of RISC pipeline. It is clear that without pipelining the goal of CPI = 1 is not possible. Clocks per instruction (CPI): CPI is an effective average. It is averaged over all of the instruction executions in a program. CPI is affected by instruction-level parallelism and by instruction complexity. Without instruction-level parallelism, simple instructions usually take 4 or more cycles to execute. Instructions that execute loops take at least one clock per loop iteration. Pipelining (overlapping execution of instructions) can bring the average for simple instructions down to near 1 clock per instruction. This designed architecture will tend towards CPI=1.
  • 3. Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121 www.ijera.com 118 | P a g e Fig3: instruction execution using Pipelining technique The Figure3 shows how instructions are executed using the pipelining technique. In this paper four stage of pipeline techniques are used such as Fetch, Decode, Execute, and Store result. Instruction Fetch Stage (IF): In this stage, the content of the Program Counter is used to access memory and fetch the next instruction to be executed. Instruction Decode Stage (ID): During this stage, the instruction is decoded and the required operands are retrieved from the general purpose registers (GPRs). Execute Stage (EX): Any calculations are performed during this stage. This includes effective address calculation for Load or Store instructions. The next Program Counter value is also calculated during this stage of the pipeline so that branches, where applicable, can be executed. Store result (ST): During this stage, the results of the calculation from the Execute stage, or the memory load from the Memory Access stage, are updated into the general purpose registers. 5. Register File The register file consists of general purpose registers of 8-bits capacity. These register files are utilized during the execution of arithmetic and data- centric instructions. It is fully visible to the programmer. The load instruction is used to load the values into the registers and store instruction is used to retrieve the values back to the memory to obtain the processed outputs back from the processor. The Link register is used to hold the addresses of the corresponding memory locations. Program Counter: The program counter (PC) contains the address of the instruction that will be fetched from the Instruction memory during the next clock cycle. Normally, the PC is incremented by one during each clock cycle unless a branch instruction is executed. When a branch instruction is encountered, the PC is incremented by the amount indicated by the branch offset. The proposed design in this paper consists of 8 bit program counter which are incremented for every clock cycles. The Status Register The status register is an 8-bit register. Primarily it is updated, however, as a side effect of the execution of ALU operations. If, for example, an arithmetic/logic instruction produces a result of 0, then the zero flag (the second bit in the status register) is set. An arithmetic overflow in an ADD instruction causes the carry bit to be set, and so on. The proposed design consists of 8 bit status register which stores the carry bit which is generated by execution unit operations’. 6. Arithmetic Logic Unit The ALU performs arithmetic and logical operations on data. The data is taken from two GPRs and is moved to the ALU. The result is stored in a GPR. The ALU has the 8-bit inputs A, B and the output C. The ALU takes two operands from the A and B registers. The result register C is used to hold the ALU output. The ALU has the capability to perform many operations. After every ALU instruction, the output register is updated. 7. Separate Data Memory and Instruction Memory access paths Different stages of the pipeline perform simultaneous accesses to memory. This Harvard style of architecture can either be used with two completely different memory spaces. Program Memory is implemented as a ROM. Its content can be preloaded from the file (containing instructions encoded in hexadecimal form). In this paper program memory consists of 23 set of instructions which is accessed by CPU during operation. Data Memory is implemented as RAM. Memory model pre-loads initial data from the Reg file and dumps its content into file after the simulation is finished. 8. Design of the ROM The CPU has a built in ROM which enables us to program simple code and execute it. The List of signals in the ROM is: 1. Address: address sent by the control unit. 2. Data out: the data that is contained the given address. 3. Clk: the main clock signal. 6. Reset: the initial reset signal.ROM consists of 23 set of instructions. 9. Instruction set and instruction formats
  • 4. Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121 www.ijera.com 119 | P a g e The various instructions performed by the processor such as: Arithmetic, Logical, data processing, data movement, branch, shift. Branch instructions: Branch instructions are used to break the sequence of instructions. A branch instruction requires two pieces of information that the processor must determine before executing the instruction, whether the branch is to be taken and the branch target address. The one basic types of braches in a this processor are conditional (such as decisions). In this designed CPU five types of branch instructions are taken for process. Load/Store machine instructions: If the instruction is a load, then read from memory using the effective address computed in the previous cycle. If it is a store, then the data from the second register read from the register file is written into memory using the effective address. RISC Processor Instruction formats: Register. Addressing modes are aspects of the instruction set architecture in most central processing unit (CPU) designs. The different addressing modes in an instruction set architecture define how machine language instructions in that architecture identify the operand (or operands) of each instruction. The addressing mode used in proposed method is: Register addressing mode. 17 bit address with opcode of 5bit and three operands each of 4 bits. The data width, status register, program counter are of 8 bit. 23 instructions are used in this processor and taken in ROM memory of this processor. The instruction format is of register type and is shown in Figure4. Instruction of 17 bit with opcode 5bit and three operands of each 4 bit. Fig4: instruction format for sinle RISC processor The opcode and corresponding operation is shown in Figure5. The opcode of 5 bit starts from 00000 to 10110 is considered in this design. Fig5: Instruction set of Processor The power analysis for the proposed CPU architecture design is done by using XPower analyzer. The clock frequency is taken as 100MHZ. The Figure6 shows the some specification for power analysis. Fig6: specification for power analyzer III. SIMULATION RESULTS The single RISC processor or CPU is simulated by using pipeline concept and Xilinx ISE and Modelsim simulator is used to get results. The Verilog HDL is used for designing the CPU. The technology schematic for single RISC processor obtained in Xilinx is shown in Figure7. The RTL schematic for single RISC processor obtained in Xilinx is shown in Figure8. Fig7: Technological schematic of single RISC processor Fig8: RTL schematic of single RISC processor
  • 5. Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121 www.ijera.com 120 | P a g e The simulation waveform for Single CPU is obtained by using Modelsim is shown in Figure9. Here there is a five input such as clock (1bit), reset (1bit), input1 (8 bit), input2 (8 bit), ram data (8 bit). The data read from ram by using load instruction. The output are op (8 bit), ram write (8 bit). Fig9: Simulation waveform for single RISC processor The design summary shows how much used from total amount and it shown in terms of numbers. Utilization of different parts in terms of percentage is shown in Figure10. Fig10: Design summary of CPU The Figure11 shows the power analysis report for proposed architecture of CPU and it can be getting by using XPower analyzer in Xilinx. It shows how much power each part in our design will be going to use. Fig11: Results obtained during power analysis power analysis IV. ADVANTAGES & DISADVANTAGES Advantages of the processor are following: It has been designed to perform a small set Instructions, with the aim of increasing the overall speed of the processor. The design is an attempt to reduce the instruction time by simplifying the instruction set of the processor. Disadvantages of the processor are following: It is used were simple operations are required for processing data; complex operations like floating point addition etc. are not performed. V. CONCLUSION 17 bit address RISC Processor core has been design and simulated in Xilinx ise13.1. The design has been achieved using Verilog and simulated with Modelsim simulator. Most of the goals were achieved and simulation shows that the processor is working perfectly, Future work will be added by increasing the number of instructions and make a pipelined design with less clock cycles per instruction and more improvement can be added in the future work. REFERENCES [1] R. Uma, “Design and Performance Analysis of 8-bit RISC Processor using Xilinx Tool”, International Journal of Engineering Research and Applications (IJERA), Vol. 2, Issue 2, Mar-Apr 2012, pp.053-058 [2] Galani Tina G., Riya Saini and R.D.Daruwala, “Design and Implementation of 32 – bit RISC Processor using Xilinx” International Journal of Emerging Trends in Electrical and Electronics (IJETEE ), Vol. 5, Issue. 1, July-2013. [3] Galani Tina R.D.Daruwala, February 2013, “ Performance Improvement of MIPS Architecture by Adding New Features” Tina et al., International Journal of Advanced Research in Computer Science and Software Engineering 3(2), February - 2013, pp. 1-6 [4] Samiappa Sakthikumaran,S.Salivahanan and V.S.Kaanchana Bhaaskaran , “16-Bit RISC Processor Design For Convolution Application”, IEEE International Conference on Recent Trends In Information Technology, pp.394-397, June 2011. [5] Rohit Sharma, Vivek Kumar Sehgal, Nitin Nitin1, Pranav Bhasker, Ishita Verma , 2009, “Design And Implementation Of 64- Bit RISC Processor Using VHDL”, UKSim : 11th International Conference on Computer Modeling And Simulation, pp. 568 – 573. [6] Rupali S. Balpande and Rashmi S. Keote.2011, “Design of FPGA based Instruction Fetch & Decode Module of 32- bit RISC (MIPS) Processor”, International Conference on Communication Systems and Network Technologies, pp. 409 – 413 [7] Xiao Li, Longwei Ji, Bo Shen, Wenhong Li, Qianling Zhang, “VLSI implementation of a High-performance 32-bit RISC Microprocessor”, IEEE 2002 International Conference on Communications, Circuits
  • 6. Rakesh M.R e al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 4, Issue 5( Version 1), May 2014, pp.116-121 www.ijera.com 121 | P a g e and Systems and West Sino Expositions, Volume 2, 2002 ,pp.1458 – 1461. BIOGRAPHIES Mr. Rakesh M.R received his B.E degree in Electronics and Communication from KVG College of Engineering Sullia in 2012. Currently he is pursuing M.Tech degree in Electronics at Canara Engineering College, Mangalore. His areas of interest are VLSI and Image Processing. Mr. Ajeya B. Obtained his M.Tech degree in Digital Electronics and Communication from NMAM Institute of technology, Nitte in 2010 and B.E. degree from UBDT College of Engineering, Davangere in 2007. Currently he is working as an Asst. Professor in the Department of E&C, Canara Engineering College, Mangalore. His areas of interest include Wireless Sensor Networks, Cryptography and Digital Communication. Mr. Mohan A.R received his M.Tech degree in VLSI Design and Embedded Systems from SJB Institute of technology, Bangalore in 2011 and B.E. degree from Coorg Institute of Technology, Ponnampet in 2007. Currently he is working as an Asst. Professor in the Department of E&C, Canara Engineering College, Mangalore. His area of interest is Digital VLSI Design.