SlideShare une entreprise Scribd logo
1  sur  5
Télécharger pour lire hors ligne
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 57
FPGA IMPLEMENTATION OF RUN LENGTH ENCODING WITH NEW
FORMULATED CODEWORD GENERATOR
J. Vidyabharathy1
, I. Veeraragavan2
1
PG scholar, Department of ECE, Arasu engineering college, Tamilnadu, India
2
Assistant professor, Department of ECE Arasu engineering college, Tamilnadu, India
Abstract
There are two major impacts in today industry while testing larger integrated circuits like large test data volume and high test power.
In our proposed scheme target both two issues for achieving two aforementioned goals in full scan sequential circuits. Shift power is
reduced by one of the adjacent filling. During testing we are filling the unspecified bits in the test pattern with either 0’s or 1’s depend
on nearest specified bit from left side. After filling the don’t care bits test data can be compressed by shifted alternate frequency
directed run length encoding. A new formulated codeword generator is introduced and it generates infinite number of codeword for
large size input test pattern. Using this codeword generator test data volume can be effectively compressed. The experimental results
on ISCAS’89 benchmark circuit shows our scheme provides better efficiency as well as significant reduction in test power.
Keywords: low power testing, X-filling, SAFDR encoding, codeword generation, Test data volume compression
-----------------------------------------------------------------------***---------------------------------------------------------------------
1. INTRODUCTION
The goal of VLSI testing is achieving low test power and size
of memory. The power consumption in test mode is much
higher than normal mode of operation due to transition
between consecutive bits are high. At the same time power
dissipation also high. This high power degrades the circuit
reliability and deduces the performance of circuit under test
(CUT) [1]. In scan testing the test power is composed of both
shift and capture power. Shift power is categorized into two
(i.e.) shift-in power and shift-out power. The power
consumption at loading of input to scan cells are called shift-in
power similarly the power consumption at unloading of output
from scan cells are called shift-out power. The shift-in power
reduction prevents serious scan chain failures and yield loss
[1].
In our novel scheme only concerns shift-in power reduction
done by adjacent X-filling The don‘t care bits are largely
present in the test pattern and these X-bits are filled directly
affect the test power. This much high power is reduced by one
of the adjacent X-filling technique. In first half of the paper X-
bits are filled after that test data volume compressed for
slightly reduces the storage of memory. Thus the storage of
large test data volume is a serious for the semiconductor
testing nowadays because it only prolongs the testing time of
the integrated circuits (IC), but also raise the memory depth
requirements. Here test data volume greatly reduced by shifted
alternate frequency directed run length encoding scheme.
The rest of this paper organised as follows. Section II
discusses about the related works. The test power reduction
and new formulated test data compression is explained with
illustration section III. In section IV, we present the
experimental results obtained on ISCAS‘89 benchmark
circuits. The section V concludes the paper.
2. BACKGROUND
2.1 X-filling Techniques to Reduce the Test Power
The uncompressed test pattern have a large number of don‘t
care bits. During test mode, small percentage of flip-flops
changes its value in each clock cycle. But test mode larger
percentage of flip-flops changes its value in every clock cycle
which results in high switching activity. Various alternative
ways are appropriately used to reduce the switching activity as
well as test power. In prior works, normally use 0-filling and
1-filling. In 0-filling, the X-bits are filled in the pattern is
changed to 0 similarly in 1-filling; all X-bits in the pattern are
changed to 1.
After that these techniques are categorized based on filling
such as preferred X-filling, random X-filling and adjacent X-
filling. Namely popular shift power reduction is done by
adjacent filling, in this method don‘t care bits are filled nearest
specified bit. In random filling X-bits are X-bits are filled
either 1 or 0 and it‘s done by our own preference. The capture
power is effectively minimized by preferred filling; in this
manner above two fillings are alternatively used [3].
Based on this above filling more X-fillings are developed for
shift and capture power reduction such as i-fill, LSP fill, CSP
fill. Thus the above filling techniques are not necessary to fill
all unspecified bits in the test cube and it mainly concern to
reduce both shift and capture power. Due to filling some or
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 58
most of the X-bits are filled, but it‘s not minimizing the power
in sufficient manner. The shift-in power reduction is based on
weighted transition metric WTM) calculation. In prior
methods, the achievable fault coverage is very low. It‘s
overcome by our proposed scheme.
2.2 Test Data Volume Compression Techniques
The compressed test data sets are very important for reducing
the cost of testing IC‘s as well as test time. In prior works
some additional hardware is used to compress and decompress
the test stimuli due to this hardware reliability is high also chip
size and power dissipation is too high. Various encoding
schemes greatly reduce the test data volume for compression
and decompression. In prior compression done by store and
generate techniques, in this technique chip size is high because
of decoder is included. After that golomb codes are analysed,
in in this codes efficiently encode SOC test data. Conventional
run-length codes to map variable-length blocks of data to
fixed-length codeword‘s.
These codes are less efficient than more variable-variable
length codes. Finally FDR, AFDR and SAFDR are focused to
encode a test sequences including runs of 1‘s and 0‘s. the
codeword generation for input data size is fixed (i.e.)
codeword blocks fixed [4]. In FDR code, performs encoding
like runs of 0‘s and 1‘s similarly AFDR woks like alternative
runs of 0‘s and 1‘s. (i.e.) runs of 0‘s must be followed by runs
of 1‘s as well as runs of 1‘s must be followed runs of 0‘s [5].
In SAFDR group of large elements are considered as single
one depend on compression. Compare than golomb codes
FDR codes provide better efficiency but above codes
shouldn‘t effectively deduce memory consumption.
3. OVERVIEW
In this overview section, proposed scheme is explained in
detail. Here our scheme targets the test power as shift-in power
and its reduction on lowering the transition in test pattern
during shift cycle after that bit specified test patterns are
compressed. Fig. 1 describes the flow for reduction of test
power and test data volume also this fig. 1 shows how to our
scheme works on two phases (i.e.) shift-in phase and
compression phase. In first phase shift-in power reduction
makeup on two steps
At first test vector (X- bits) can be generated by Automatic test
pattern generator (ATPG) and thus the sequence of test input
patterns are given to adjacent X-filling and it‘s accomplished
by below two steps. In first step X- bits are filled depend on
nearest specified bit present from left side. In second step,
unfilled X- bits are filled depend on specified bit from right
side. This adjacent filling surely follows the WTM calculation,
based on this minimum WTM input pattern only allows for
current X-filling otherwise it‘s neglected [6]. After that flow
enter into the compression phase. Here SAFDR used as
encoding scheme for data compression. After the fully
specified test set codeword is generated for each input until the
process end.
No
Shift-in
Yes phase
compression
phase
Fig -1: Overview flow diagram
3.1 Reducing Shift-in Power
Shift-in power is calculated by WTM (Weighted Transition
Metric) approach to reduce transition between consecutive bits.
The shift power not only depends upon the transition among
consecutive bits but also the position of transition. Below
Eq.(1) shows the calculation of WTM [6]. Here the test
stimulus T the shift-in power in the pth test vector having q bits
is calculated as
WTMp = 1)Xq
Start
Test vector generation
End
Adjacent X-filling
Min
WTM
WTM
WTM calculations for shift-in power
X- filling PI with nearest specified
bit from left side
Fault simulation to get response
Shifted alternative frequency
directed run- length encoding
Code word generation
Compression for specific test set
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 59
Here n is the number of scan cells in the scan chain and Tp,q is
the logic value of qth scan cell in pth test vector. For example:
let T be a test pattern of 16bits.
T= XX1XX1XXXXX0XXXX
After the adjacent filling,
T=1111111111100000
The minimum transition metric of WTM=5. This has minimum
transition among all possible X-filling techniques for shift-in
power reduction.
3.2 Reducing Test Data Volume
The test data volume reduction is done by using SAFDR
(shifted alternative frequency directed run-length encoding).it
runs the consecutive group of specified pattern alternatively
like group of 1‘s and 0‘s. This example encoding as shown in
table (1) In proposed scheme run no specifies the number of
run is currently processed. 2ⁿ elements considered as one group
and 2ⁿ possible elements are generated as tail elements for
corresponding ‗n‘ group number. n is denoted as binary value.
The codeword is combination of two parts, such as prefix and
tail. The prefix considered as previous value of last number
after that codeword is generated.
In our proposed scheme, codeword is generated for an infinite
number of inputs based on two formulas.
Prefix = ( 2) Eq.(2)
Tail = Run length - 1) Eq. (3)
Large size input test patterns have more number of runs like
256. It can‘t be generated by normal codeword generator. This
problem easily recovered by proposed codeword generator.
Here, run length specifies runs of currently processed either
group of 0‘s or 1‘s. The prefix and tail, both have the same bit
size and the bit size will be same as the group number. The use
of prefix is to determine the numbers in the group while
decompression.
Table -1: Encoding scheme
Run Group no Prefix Tail Codeword
1 1 0 0 00
2 1 01
3 2 10 00 1000
4 01 1001
5 10 1010
6 11 1011
7 3 110 000 110000
8 001 110001
9 010 110010
10 011 110011
11 100 110100
12 101 110101
13 110 110110
14 111 110111
Example: length of pattern is 34
111111 000000000000 1111111111111111
Run: 6 12 16
Code: 1011 110101 11100001
Code length: 18
The original encoded test pattern length is 34 and it‘s
compressed into 18. The compression ratio is calculated by
below formula.
Compression ratio = |TD – Tc | X 100%
| TD |
= |34-18| X 100% = 47%
|34|
Where TD represents the original test pattern test length and Tc
represents the compressed test pattern length.
3.3 Compression Unit
The overall process control unit is shown in below. In this unit
SAFDR and codeword generator are connected together.
These two modules are DUT (i.e.) Design Under Test. Clk and
reset are commonly given to both two modules. The codeword
generator send codeword_ready request to SAFDR after that
acceptance by SAFDR following information‘s are send to it
such as prefix, tail, width and group no (i.e.) converted into
FDR codes. Finally codeword_done acknowledgement resend
from SAFDR to codeword generator. The overall compression
operation is makeup on this unit it is shown in fig. (2). Outputs
are shown by LED switches
DUT_code DUT_SAFDR
R_L Group no
codeword Prefix
_ready Width
Tail L
E
Clk D
Reset
Fig -2: Overview flow diagram
Code
Word
generator
Shifted
Alternate
FDR
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 60
4. EXPERIMENTAL RESULTS
The proposed scheme programmed on VHDL and its
implemented into Spartan 3E NEXSYS2 FPGA kit. In order to
analyze the power consumption while testing IC‘s and test data
volume compression results also shown by modelsim output.
Here modelsim 6.2c used. After the X-bits generation, the X-
filled pattern is given to compactor. Xilinx 14.2 is used for
synthesis the larger codes. The simulation results shows our
whole operation.
Fig -3: Device utilization summary
Fig- 4: Adjacent X-filling waveform
Fig. (3) shows whole device utilization summary of our FPGA
and how much detection of devices also shown Fig. (4) shows
input test patterns are frequently send to X-filling, after that X-
bits are filled in every clock cycle. This operation
accomplished within few seconds.
Fig- 5: Codeword generation waveform
Fig. (5) shows code generation waveform for each input, code
word can be generated with same bit size and width size Fig.
(6) shows overall compression waveform for large size input of
benchmark circuit.
Fig-6: Compression waveform
Table-2. shows experimental results on shift-in power
reduction. It can be accomplished by various CUT. Based on
this results we easily analyze our scheme provide better shift-in
power reduction. TABLE III. Shows comparison of
compression ratio of various CUT,
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 61
Table -2: Experimental results on shift-in power reduction
CUT Size
of
in/ou
t
#
SFF
#
patt
ern
%X-
bits
Average shift-in
transition
0 fill 1 fill ours
S1585
0
611/
684
597 846 98.71 6059.45 6985.2 1970
S3593
2
214/
213
179 641 49.08 854.68 706.7 419
S3841
7
1664/
1742
163
6
349
8
98.23 12330.5 11001.
4
4524
Table -3: Experimental results on shift-in power reduction
CUT ORIGINAL
TEST
VOLUME
COMPRESSION RATIO
GOLOMB FDR OURS
S115850 137174 87.61 77.56 80
S13207 576800 89.03 84.73 91
S38417 5031936 89.86 79.00 87
5. CONCLUSIONS
Thus the proposed scheme of test data volume and high test
power reduction are greatly achieved. Test power is
minimized by adjacent X-filling and test data volume is
compressed by SAFDR. In SAFDR codeword is generated by
new formulated codeword generator for large number of bits
in runs. Due to this storage of test pattern memory also
effectively reduced so size of chip is minimized and
degradation is very less. The experimental results on ISCAS‘
89 benchmark circuit shows that our scheme provides better
efficiency as well as significant reduction in test power.
REFERENCES
[1]. P.Girard, ‖Survey of low-power testing of VLSI
circuits,‖IEEE Des. Test Comput., vol. 19, no.3, pp. 80-90,
May-Jun. 2002.
[2]. Usha Mehta, Kankar Dasgupta and Niranjan Devashrayee,
―Suitability of various low-power testing techniques for IP
core- based SoC: a survey‖, Hindawi Publishing Corporation
VLSI Design, Volume 2011.
[3]. S. Balatsouka, V. Tenentes, X. Kavousianos, K.
Chakrabarty ―Defect Aware X- filling for Low power Scan
Testing‖, 978-3-9810801-6-2/DATE10 © 2010 EDAA, pp.
873-878.
[4]. Anshuman Chandra, Krishnendu Chakrabarty and Rafael
A.Medina, ―How effective are compression codes for reducing
test data volume‖, Proc. of the 20th IEEE VLSI Test
Symposium (VTS.02), 2002.
[5]. Sybille Hellebrand, Armin Würtenberger, ―Alternating
Run-Length Coding – A Technique for Improved Test Data
Compression‖, October 10 – 11, 2002.
[6]. Dong-Sup Song, Jin-Ho Ahn, Tae-Jin Kim And Sungho
Kang, MTR-Fill: A simulated annealing-based x-filling
technique to reduce test power dissipation for scan based
designs‖, IEICE Trans. Inf and Syst, Vol: E91-D, No:4, April
2008.
[7]. Usha S. Mehta, Kankar S. Dasgupta And Niranjan
M.Devashrayee, ―Run-length-based test data compression
techniques: how far from entropy and power bounds—a
survey‖, VLSI Design, Volume 2010.
[8]. S Sivanantham, K Sarathkumar, Jincy P Manuel, P S
Mallick CSP-Filling: ―A New X-filling Technique to Reduce
Capture and Shift capture power‖ 2012 International
Symposium on Electronic System Design IEEE DOI
10.1109/ISED.2012.62 135.
[9]. S.Sivanantham, V.Sandeep, P.S.Mallick, J.Raja Paul
Perinbam ―A novel approch for simultaneous reduction of
shift and capture power for scan based testing‖, In Proc.
International Conference on Signal Processing,
Communication, Computing and Networking Technologies
(ICSCCN), pp. 418-423, 2011.
[10]. Jia Li, Student Member, IEEE, Qiang Xu, Member,
IEEE, YuHu, Member, IEEE, and Xiaowei Li ‖x-filling for
simultaneous shift- and capture-power reduction in at-speed
scan-based testing‖, IEEE VOL. 18, NO. 7, JULY 2010.
[11]. Chandra and K Chakrabarthy, ―System on a chip test
data compression and decompression architectures based on
golomb codes‖, IEEE Trans. Computer Aided Design of
Integrated Circuits, vol:20, no:3, March 2001.
[12]. Jas and N. Touba, ―Test vector compression via
cyclical scan chains and its application to testing core-based
designs,‖ in Proceedings of the IEEE International Test
Conference (ITC '98), pp. 458–464, IEEE CS, Washington,
DC, USA, October 1998.
[13]. Abhijit Jas, Jayabrata Ghosh-Dastidar, Mom-Eng Ng
And Nur Touba, ―An efficient test vector compression scheme
using selective huffman coding‖, IEEE Transactions On
Computer-Aided Design Of Integrated Circuits And Systems,
Vol 22, No:6, June 2003.
[14]. K. M. Butler, et al. Minimizing Power Consumption in
Scan Testing: Pattern Generation and DFT Techniques. In
Proc. International Test Conference (ITC), pp. 355–364, 2004
[15]. J. Li, Q. Xu, Y. Hu, and X. Li. On Reducing Both Shift
and Capture Power for Scan-Based Testing. In Proc. Asia and
South Pacific Design Automation Conference (ASP-DAC),
pp. 653-658, 2008
[16]. J. Li, Q. Xu, Y. Hu, and X. Li. iFill: An Impact- Oriented
X- Filling Method for Shift- and Capture-Power Reduction in
At- Speed Scan-Based Testing. In Proc. Design, Automation,
and Test in Europe (DATE), pp. 1184-1189, 2008.
[17]. N. Tauba, ―Survey of test vector compression‖ IEEE
Transaction Design & Test of Computers, pp. 294–303, 2006.
[18]. A. El-Maleh and R. Al-Abaji, ―Extended frequency-
directed run-length code with improved application to system-
on-a chip test data compression,‖ in Proceedings of the 8th
IEEE International Conference on Electronic Circuits and
Systems (ICECS ‘02), vol. 2, pp. 449–452, Dubrovnik,
Croatia, September 2002.

Contenu connexe

Tendances

Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC Motor
Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC MotorNovelty Method of Speed Control Analysis of Permanent Magnet Brushless DC Motor
Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC MotorIRJET Journal
 
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...IJPEDS-IAES
 
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...Improved Torque Control Performance in Direct Torque Control using Optimal Sw...
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...IJPEDS-IAES
 
G0362046052
G0362046052G0362046052
G0362046052theijes
 
Hardware Implementation and analysis of a Seven Level MLI with SVPWM
Hardware Implementation and analysis of a Seven Level  MLI with SVPWMHardware Implementation and analysis of a Seven Level  MLI with SVPWM
Hardware Implementation and analysis of a Seven Level MLI with SVPWMIRJET Journal
 
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...IJECEIAES
 
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...ijcisjournal
 
Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...IJPEDS-IAES
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyeSAT Publishing House
 
Open switch fault diagnosis in three phase inverter
Open switch fault diagnosis in three phase inverterOpen switch fault diagnosis in three phase inverter
Open switch fault diagnosis in three phase invertereSAT Publishing House
 
Iaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd Iaetsd
 
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...Cemal Ardil
 
Robust control of pmsm using genetic algorithm analysis with pid
Robust control of pmsm using genetic algorithm analysis with pidRobust control of pmsm using genetic algorithm analysis with pid
Robust control of pmsm using genetic algorithm analysis with pideSAT Journals
 
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...IJPEDS-IAES
 
Model Predictive Current Control of a Seven-phase Voltage Source Inverter
Model Predictive Current Control of a Seven-phase Voltage Source InverterModel Predictive Current Control of a Seven-phase Voltage Source Inverter
Model Predictive Current Control of a Seven-phase Voltage Source Inverteridescitation
 

Tendances (20)

Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC Motor
Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC MotorNovelty Method of Speed Control Analysis of Permanent Magnet Brushless DC Motor
Novelty Method of Speed Control Analysis of Permanent Magnet Brushless DC Motor
 
Prakash narendra
Prakash narendraPrakash narendra
Prakash narendra
 
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...
A Novel Optimal PI Parameter Tuning Strategy to Improve Constant Switching Pe...
 
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...Improved Torque Control Performance in Direct Torque Control using Optimal Sw...
Improved Torque Control Performance in Direct Torque Control using Optimal Sw...
 
G0362046052
G0362046052G0362046052
G0362046052
 
Hardware Implementation and analysis of a Seven Level MLI with SVPWM
Hardware Implementation and analysis of a Seven Level  MLI with SVPWMHardware Implementation and analysis of a Seven Level  MLI with SVPWM
Hardware Implementation and analysis of a Seven Level MLI with SVPWM
 
L0421068072
L0421068072L0421068072
L0421068072
 
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...
Optimization of the Thyristor Controlled Phase Shifting Transformer Using PSO...
 
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...
An Optimized Device Sizing of Two-Stage CMOS OP-AMP Using Multi-Objective Gen...
 
Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...
Fuzzy-PI Torque and Flux Controllers for DTC with Multilevel Inverter of Indu...
 
Cg34503507
Cg34503507Cg34503507
Cg34503507
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technology
 
Open switch fault diagnosis in three phase inverter
Open switch fault diagnosis in three phase inverterOpen switch fault diagnosis in three phase inverter
Open switch fault diagnosis in three phase inverter
 
Iaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determinationIaetsd power capture safe test pattern determination
Iaetsd power capture safe test pattern determination
 
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
Multi objective-optimization-with-fuzzy-based-ranking-for-tcsc-supplementary-...
 
Robust control of pmsm using genetic algorithm analysis with pid
Robust control of pmsm using genetic algorithm analysis with pidRobust control of pmsm using genetic algorithm analysis with pid
Robust control of pmsm using genetic algorithm analysis with pid
 
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...
A Tactical Chaos based PWM Technique for Distortion Restraint and Power Spect...
 
M356872
M356872M356872
M356872
 
Model Predictive Current Control of a Seven-phase Voltage Source Inverter
Model Predictive Current Control of a Seven-phase Voltage Source InverterModel Predictive Current Control of a Seven-phase Voltage Source Inverter
Model Predictive Current Control of a Seven-phase Voltage Source Inverter
 

En vedette

Design and implementation of labview based scada for textile mills
Design and implementation of labview based scada for textile millsDesign and implementation of labview based scada for textile mills
Design and implementation of labview based scada for textile millseSAT Publishing House
 
Design of digital video watermarking scheme using matlab simulink
Design of digital video watermarking scheme using matlab simulinkDesign of digital video watermarking scheme using matlab simulink
Design of digital video watermarking scheme using matlab simulinkeSAT Publishing House
 
Power quality improvement of grid interconnected distribution system using fs...
Power quality improvement of grid interconnected distribution system using fs...Power quality improvement of grid interconnected distribution system using fs...
Power quality improvement of grid interconnected distribution system using fs...eSAT Publishing House
 
A semi circle theorem in magneto-rotatory
A semi circle theorem in magneto-rotatoryA semi circle theorem in magneto-rotatory
A semi circle theorem in magneto-rotatoryeSAT Publishing House
 
Interactive irrigation system through mobile with ivr response
Interactive irrigation system through mobile with ivr responseInteractive irrigation system through mobile with ivr response
Interactive irrigation system through mobile with ivr responseeSAT Publishing House
 
Electrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermalElectrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermaleSAT Publishing House
 
Health information systemfor crm implementation in ttd
Health information systemfor crm implementation in ttdHealth information systemfor crm implementation in ttd
Health information systemfor crm implementation in ttdeSAT Publishing House
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filtereSAT Publishing House
 
Aiding visually challenged individual for object detection and navigation usi...
Aiding visually challenged individual for object detection and navigation usi...Aiding visually challenged individual for object detection and navigation usi...
Aiding visually challenged individual for object detection and navigation usi...eSAT Publishing House
 
Dynamic selection of cluster head in in networks for energy management
Dynamic selection of cluster head in in networks for energy managementDynamic selection of cluster head in in networks for energy management
Dynamic selection of cluster head in in networks for energy managementeSAT Publishing House
 
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...Combined Defence Services Examination (II) - 2014Performance analysis of swcn...
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...eSAT Publishing House
 
Effects on p h behaviour of expansive and non expansive soils contaminated wi...
Effects on p h behaviour of expansive and non expansive soils contaminated wi...Effects on p h behaviour of expansive and non expansive soils contaminated wi...
Effects on p h behaviour of expansive and non expansive soils contaminated wi...eSAT Publishing House
 
Vibgyor a sustainability assessment tool for residential building
Vibgyor a sustainability assessment tool for residential buildingVibgyor a sustainability assessment tool for residential building
Vibgyor a sustainability assessment tool for residential buildingeSAT Publishing House
 
Fpga based interfacing for 8-bit and 32-bit electronic devices
Fpga based interfacing for 8-bit and 32-bit electronic devicesFpga based interfacing for 8-bit and 32-bit electronic devices
Fpga based interfacing for 8-bit and 32-bit electronic deviceseSAT Publishing House
 
Design and development of electro optical system for acquisition of ppg signa...
Design and development of electro optical system for acquisition of ppg signa...Design and development of electro optical system for acquisition of ppg signa...
Design and development of electro optical system for acquisition of ppg signa...eSAT Publishing House
 
Concrete based on alkali activated fly ash from one power plant in serbia
Concrete based on alkali activated fly ash from one power plant in serbiaConcrete based on alkali activated fly ash from one power plant in serbia
Concrete based on alkali activated fly ash from one power plant in serbiaeSAT Publishing House
 
Hci and its effective use in design and development of good user interface
Hci and its effective use in design and development of good user interfaceHci and its effective use in design and development of good user interface
Hci and its effective use in design and development of good user interfaceeSAT Publishing House
 
Study of permeation of gases through ceramic supported polymeric and zeolite ...
Study of permeation of gases through ceramic supported polymeric and zeolite ...Study of permeation of gases through ceramic supported polymeric and zeolite ...
Study of permeation of gases through ceramic supported polymeric and zeolite ...eSAT Publishing House
 
Use of location aided algorithm using wi fi in indoor
Use of location aided algorithm using wi fi in indoorUse of location aided algorithm using wi fi in indoor
Use of location aided algorithm using wi fi in indooreSAT Publishing House
 

En vedette (20)

Design and implementation of labview based scada for textile mills
Design and implementation of labview based scada for textile millsDesign and implementation of labview based scada for textile mills
Design and implementation of labview based scada for textile mills
 
Synthesis and characterization of
Synthesis and characterization ofSynthesis and characterization of
Synthesis and characterization of
 
Design of digital video watermarking scheme using matlab simulink
Design of digital video watermarking scheme using matlab simulinkDesign of digital video watermarking scheme using matlab simulink
Design of digital video watermarking scheme using matlab simulink
 
Power quality improvement of grid interconnected distribution system using fs...
Power quality improvement of grid interconnected distribution system using fs...Power quality improvement of grid interconnected distribution system using fs...
Power quality improvement of grid interconnected distribution system using fs...
 
A semi circle theorem in magneto-rotatory
A semi circle theorem in magneto-rotatoryA semi circle theorem in magneto-rotatory
A semi circle theorem in magneto-rotatory
 
Interactive irrigation system through mobile with ivr response
Interactive irrigation system through mobile with ivr responseInteractive irrigation system through mobile with ivr response
Interactive irrigation system through mobile with ivr response
 
Electrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermalElectrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermal
 
Health information systemfor crm implementation in ttd
Health information systemfor crm implementation in ttdHealth information systemfor crm implementation in ttd
Health information systemfor crm implementation in ttd
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter
 
Aiding visually challenged individual for object detection and navigation usi...
Aiding visually challenged individual for object detection and navigation usi...Aiding visually challenged individual for object detection and navigation usi...
Aiding visually challenged individual for object detection and navigation usi...
 
Dynamic selection of cluster head in in networks for energy management
Dynamic selection of cluster head in in networks for energy managementDynamic selection of cluster head in in networks for energy management
Dynamic selection of cluster head in in networks for energy management
 
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...Combined Defence Services Examination (II) - 2014Performance analysis of swcn...
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...
 
Effects on p h behaviour of expansive and non expansive soils contaminated wi...
Effects on p h behaviour of expansive and non expansive soils contaminated wi...Effects on p h behaviour of expansive and non expansive soils contaminated wi...
Effects on p h behaviour of expansive and non expansive soils contaminated wi...
 
Vibgyor a sustainability assessment tool for residential building
Vibgyor a sustainability assessment tool for residential buildingVibgyor a sustainability assessment tool for residential building
Vibgyor a sustainability assessment tool for residential building
 
Fpga based interfacing for 8-bit and 32-bit electronic devices
Fpga based interfacing for 8-bit and 32-bit electronic devicesFpga based interfacing for 8-bit and 32-bit electronic devices
Fpga based interfacing for 8-bit and 32-bit electronic devices
 
Design and development of electro optical system for acquisition of ppg signa...
Design and development of electro optical system for acquisition of ppg signa...Design and development of electro optical system for acquisition of ppg signa...
Design and development of electro optical system for acquisition of ppg signa...
 
Concrete based on alkali activated fly ash from one power plant in serbia
Concrete based on alkali activated fly ash from one power plant in serbiaConcrete based on alkali activated fly ash from one power plant in serbia
Concrete based on alkali activated fly ash from one power plant in serbia
 
Hci and its effective use in design and development of good user interface
Hci and its effective use in design and development of good user interfaceHci and its effective use in design and development of good user interface
Hci and its effective use in design and development of good user interface
 
Study of permeation of gases through ceramic supported polymeric and zeolite ...
Study of permeation of gases through ceramic supported polymeric and zeolite ...Study of permeation of gases through ceramic supported polymeric and zeolite ...
Study of permeation of gases through ceramic supported polymeric and zeolite ...
 
Use of location aided algorithm using wi fi in indoor
Use of location aided algorithm using wi fi in indoorUse of location aided algorithm using wi fi in indoor
Use of location aided algorithm using wi fi in indoor
 

Similaire à Fpga implementation of run length encoding with new formulated codeword generator

Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueIJERA Editor
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
 
Optimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationOptimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationeSAT Publishing House
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequencyeSAT Journals
 
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...IRJET Journal
 
Performance Improvement with Model Predictive Torque Control of IM Drives usi...
Performance Improvement with Model Predictive Torque Control of IM Drives usi...Performance Improvement with Model Predictive Torque Control of IM Drives usi...
Performance Improvement with Model Predictive Torque Control of IM Drives usi...IRJET Journal
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applicationseSAT Journals
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applicationseSAT Publishing House
 
Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...eSAT Journals
 
ECE260BMiniProject2Report
ECE260BMiniProject2ReportECE260BMiniProject2Report
ECE260BMiniProject2ReportFanyu Yang
 
Control analysis of a high frequency resonant inverter for induction cooking ...
Control analysis of a high frequency resonant inverter for induction cooking ...Control analysis of a high frequency resonant inverter for induction cooking ...
Control analysis of a high frequency resonant inverter for induction cooking ...eSAT Journals
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesIJECEIAES
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniqueseSAT Publishing House
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniqueseSAT Journals
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
 

Similaire à Fpga implementation of run length encoding with new formulated codeword generator (20)

Clock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft TechniqueClock Gating Cells for Low Power Scan Testing By Dft Technique
Clock Gating Cells for Low Power Scan Testing By Dft Technique
 
Fv3610681072
Fv3610681072Fv3610681072
Fv3610681072
 
40120140506006
4012014050600640120140506006
40120140506006
 
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...
 
Optimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generationOptimum capacity allocation of distributed generation
Optimum capacity allocation of distributed generation
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequency
 
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...
Model Order Reduction of an ISLANDED MICROGRID using Single Perturbation, Dir...
 
Performance Improvement with Model Predictive Torque Control of IM Drives usi...
Performance Improvement with Model Predictive Torque Control of IM Drives usi...Performance Improvement with Model Predictive Torque Control of IM Drives usi...
Performance Improvement with Model Predictive Torque Control of IM Drives usi...
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applications
 
Low power test pattern generation for bist applications
Low power test pattern generation for bist applicationsLow power test pattern generation for bist applications
Low power test pattern generation for bist applications
 
Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...Optimum capacity allocation of distributed generation units using parallel ps...
Optimum capacity allocation of distributed generation units using parallel ps...
 
ECE260BMiniProject2Report
ECE260BMiniProject2ReportECE260BMiniProject2Report
ECE260BMiniProject2Report
 
Control analysis of a high frequency resonant inverter for induction cooking ...
Control analysis of a high frequency resonant inverter for induction cooking ...Control analysis of a high frequency resonant inverter for induction cooking ...
Control analysis of a high frequency resonant inverter for induction cooking ...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniques
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniques
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 

Plus de eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

Plus de eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Dernier

complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...asadnawaz62
 
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMM
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMMchpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMM
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMMNanaAgyeman13
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Crushers to screens in aggregate production
Crushers to screens in aggregate productionCrushers to screens in aggregate production
Crushers to screens in aggregate productionChinnuNinan
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Romil Mishra
 
home automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadhome automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadaditya806802
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdfHafizMudaserAhmad
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating SystemRashmi Bhat
 
Crystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxCrystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxachiever3003
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptNarmatha D
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionMebane Rash
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating SystemRashmi Bhat
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdfCaalaaAbdulkerim
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfAsst.prof M.Gokilavani
 
Internet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxInternet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxVelmuruganTECE
 
Immutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfImmutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfDrew Moseley
 
multiple access in wireless communication
multiple access in wireless communicationmultiple access in wireless communication
multiple access in wireless communicationpanditadesh123
 

Dernier (20)

complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...complete construction, environmental and economics information of biomass com...
complete construction, environmental and economics information of biomass com...
 
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMM
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMMchpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMM
chpater16.pptxMMMMMMMMMMMMMMMMMMMMMMMMMMM
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Crushers to screens in aggregate production
Crushers to screens in aggregate productionCrushers to screens in aggregate production
Crushers to screens in aggregate production
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________
 
home automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadhome automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasad
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating System
 
Crystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptxCrystal Structure analysis and detailed information pptx
Crystal Structure analysis and detailed information pptx
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.ppt
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of Action
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating System
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdf
 
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdfCCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
CCS355 Neural Networks & Deep Learning Unit 1 PDF notes with Question bank .pdf
 
Internet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxInternet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptx
 
Immutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfImmutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdf
 
multiple access in wireless communication
multiple access in wireless communicationmultiple access in wireless communication
multiple access in wireless communication
 

Fpga implementation of run length encoding with new formulated codeword generator

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 57 FPGA IMPLEMENTATION OF RUN LENGTH ENCODING WITH NEW FORMULATED CODEWORD GENERATOR J. Vidyabharathy1 , I. Veeraragavan2 1 PG scholar, Department of ECE, Arasu engineering college, Tamilnadu, India 2 Assistant professor, Department of ECE Arasu engineering college, Tamilnadu, India Abstract There are two major impacts in today industry while testing larger integrated circuits like large test data volume and high test power. In our proposed scheme target both two issues for achieving two aforementioned goals in full scan sequential circuits. Shift power is reduced by one of the adjacent filling. During testing we are filling the unspecified bits in the test pattern with either 0’s or 1’s depend on nearest specified bit from left side. After filling the don’t care bits test data can be compressed by shifted alternate frequency directed run length encoding. A new formulated codeword generator is introduced and it generates infinite number of codeword for large size input test pattern. Using this codeword generator test data volume can be effectively compressed. The experimental results on ISCAS’89 benchmark circuit shows our scheme provides better efficiency as well as significant reduction in test power. Keywords: low power testing, X-filling, SAFDR encoding, codeword generation, Test data volume compression -----------------------------------------------------------------------***--------------------------------------------------------------------- 1. INTRODUCTION The goal of VLSI testing is achieving low test power and size of memory. The power consumption in test mode is much higher than normal mode of operation due to transition between consecutive bits are high. At the same time power dissipation also high. This high power degrades the circuit reliability and deduces the performance of circuit under test (CUT) [1]. In scan testing the test power is composed of both shift and capture power. Shift power is categorized into two (i.e.) shift-in power and shift-out power. The power consumption at loading of input to scan cells are called shift-in power similarly the power consumption at unloading of output from scan cells are called shift-out power. The shift-in power reduction prevents serious scan chain failures and yield loss [1]. In our novel scheme only concerns shift-in power reduction done by adjacent X-filling The don‘t care bits are largely present in the test pattern and these X-bits are filled directly affect the test power. This much high power is reduced by one of the adjacent X-filling technique. In first half of the paper X- bits are filled after that test data volume compressed for slightly reduces the storage of memory. Thus the storage of large test data volume is a serious for the semiconductor testing nowadays because it only prolongs the testing time of the integrated circuits (IC), but also raise the memory depth requirements. Here test data volume greatly reduced by shifted alternate frequency directed run length encoding scheme. The rest of this paper organised as follows. Section II discusses about the related works. The test power reduction and new formulated test data compression is explained with illustration section III. In section IV, we present the experimental results obtained on ISCAS‘89 benchmark circuits. The section V concludes the paper. 2. BACKGROUND 2.1 X-filling Techniques to Reduce the Test Power The uncompressed test pattern have a large number of don‘t care bits. During test mode, small percentage of flip-flops changes its value in each clock cycle. But test mode larger percentage of flip-flops changes its value in every clock cycle which results in high switching activity. Various alternative ways are appropriately used to reduce the switching activity as well as test power. In prior works, normally use 0-filling and 1-filling. In 0-filling, the X-bits are filled in the pattern is changed to 0 similarly in 1-filling; all X-bits in the pattern are changed to 1. After that these techniques are categorized based on filling such as preferred X-filling, random X-filling and adjacent X- filling. Namely popular shift power reduction is done by adjacent filling, in this method don‘t care bits are filled nearest specified bit. In random filling X-bits are X-bits are filled either 1 or 0 and it‘s done by our own preference. The capture power is effectively minimized by preferred filling; in this manner above two fillings are alternatively used [3]. Based on this above filling more X-fillings are developed for shift and capture power reduction such as i-fill, LSP fill, CSP fill. Thus the above filling techniques are not necessary to fill all unspecified bits in the test cube and it mainly concern to reduce both shift and capture power. Due to filling some or
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 58 most of the X-bits are filled, but it‘s not minimizing the power in sufficient manner. The shift-in power reduction is based on weighted transition metric WTM) calculation. In prior methods, the achievable fault coverage is very low. It‘s overcome by our proposed scheme. 2.2 Test Data Volume Compression Techniques The compressed test data sets are very important for reducing the cost of testing IC‘s as well as test time. In prior works some additional hardware is used to compress and decompress the test stimuli due to this hardware reliability is high also chip size and power dissipation is too high. Various encoding schemes greatly reduce the test data volume for compression and decompression. In prior compression done by store and generate techniques, in this technique chip size is high because of decoder is included. After that golomb codes are analysed, in in this codes efficiently encode SOC test data. Conventional run-length codes to map variable-length blocks of data to fixed-length codeword‘s. These codes are less efficient than more variable-variable length codes. Finally FDR, AFDR and SAFDR are focused to encode a test sequences including runs of 1‘s and 0‘s. the codeword generation for input data size is fixed (i.e.) codeword blocks fixed [4]. In FDR code, performs encoding like runs of 0‘s and 1‘s similarly AFDR woks like alternative runs of 0‘s and 1‘s. (i.e.) runs of 0‘s must be followed by runs of 1‘s as well as runs of 1‘s must be followed runs of 0‘s [5]. In SAFDR group of large elements are considered as single one depend on compression. Compare than golomb codes FDR codes provide better efficiency but above codes shouldn‘t effectively deduce memory consumption. 3. OVERVIEW In this overview section, proposed scheme is explained in detail. Here our scheme targets the test power as shift-in power and its reduction on lowering the transition in test pattern during shift cycle after that bit specified test patterns are compressed. Fig. 1 describes the flow for reduction of test power and test data volume also this fig. 1 shows how to our scheme works on two phases (i.e.) shift-in phase and compression phase. In first phase shift-in power reduction makeup on two steps At first test vector (X- bits) can be generated by Automatic test pattern generator (ATPG) and thus the sequence of test input patterns are given to adjacent X-filling and it‘s accomplished by below two steps. In first step X- bits are filled depend on nearest specified bit present from left side. In second step, unfilled X- bits are filled depend on specified bit from right side. This adjacent filling surely follows the WTM calculation, based on this minimum WTM input pattern only allows for current X-filling otherwise it‘s neglected [6]. After that flow enter into the compression phase. Here SAFDR used as encoding scheme for data compression. After the fully specified test set codeword is generated for each input until the process end. No Shift-in Yes phase compression phase Fig -1: Overview flow diagram 3.1 Reducing Shift-in Power Shift-in power is calculated by WTM (Weighted Transition Metric) approach to reduce transition between consecutive bits. The shift power not only depends upon the transition among consecutive bits but also the position of transition. Below Eq.(1) shows the calculation of WTM [6]. Here the test stimulus T the shift-in power in the pth test vector having q bits is calculated as WTMp = 1)Xq Start Test vector generation End Adjacent X-filling Min WTM WTM WTM calculations for shift-in power X- filling PI with nearest specified bit from left side Fault simulation to get response Shifted alternative frequency directed run- length encoding Code word generation Compression for specific test set
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 59 Here n is the number of scan cells in the scan chain and Tp,q is the logic value of qth scan cell in pth test vector. For example: let T be a test pattern of 16bits. T= XX1XX1XXXXX0XXXX After the adjacent filling, T=1111111111100000 The minimum transition metric of WTM=5. This has minimum transition among all possible X-filling techniques for shift-in power reduction. 3.2 Reducing Test Data Volume The test data volume reduction is done by using SAFDR (shifted alternative frequency directed run-length encoding).it runs the consecutive group of specified pattern alternatively like group of 1‘s and 0‘s. This example encoding as shown in table (1) In proposed scheme run no specifies the number of run is currently processed. 2ⁿ elements considered as one group and 2ⁿ possible elements are generated as tail elements for corresponding ‗n‘ group number. n is denoted as binary value. The codeword is combination of two parts, such as prefix and tail. The prefix considered as previous value of last number after that codeword is generated. In our proposed scheme, codeword is generated for an infinite number of inputs based on two formulas. Prefix = ( 2) Eq.(2) Tail = Run length - 1) Eq. (3) Large size input test patterns have more number of runs like 256. It can‘t be generated by normal codeword generator. This problem easily recovered by proposed codeword generator. Here, run length specifies runs of currently processed either group of 0‘s or 1‘s. The prefix and tail, both have the same bit size and the bit size will be same as the group number. The use of prefix is to determine the numbers in the group while decompression. Table -1: Encoding scheme Run Group no Prefix Tail Codeword 1 1 0 0 00 2 1 01 3 2 10 00 1000 4 01 1001 5 10 1010 6 11 1011 7 3 110 000 110000 8 001 110001 9 010 110010 10 011 110011 11 100 110100 12 101 110101 13 110 110110 14 111 110111 Example: length of pattern is 34 111111 000000000000 1111111111111111 Run: 6 12 16 Code: 1011 110101 11100001 Code length: 18 The original encoded test pattern length is 34 and it‘s compressed into 18. The compression ratio is calculated by below formula. Compression ratio = |TD – Tc | X 100% | TD | = |34-18| X 100% = 47% |34| Where TD represents the original test pattern test length and Tc represents the compressed test pattern length. 3.3 Compression Unit The overall process control unit is shown in below. In this unit SAFDR and codeword generator are connected together. These two modules are DUT (i.e.) Design Under Test. Clk and reset are commonly given to both two modules. The codeword generator send codeword_ready request to SAFDR after that acceptance by SAFDR following information‘s are send to it such as prefix, tail, width and group no (i.e.) converted into FDR codes. Finally codeword_done acknowledgement resend from SAFDR to codeword generator. The overall compression operation is makeup on this unit it is shown in fig. (2). Outputs are shown by LED switches DUT_code DUT_SAFDR R_L Group no codeword Prefix _ready Width Tail L E Clk D Reset Fig -2: Overview flow diagram Code Word generator Shifted Alternate FDR
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 60 4. EXPERIMENTAL RESULTS The proposed scheme programmed on VHDL and its implemented into Spartan 3E NEXSYS2 FPGA kit. In order to analyze the power consumption while testing IC‘s and test data volume compression results also shown by modelsim output. Here modelsim 6.2c used. After the X-bits generation, the X- filled pattern is given to compactor. Xilinx 14.2 is used for synthesis the larger codes. The simulation results shows our whole operation. Fig -3: Device utilization summary Fig- 4: Adjacent X-filling waveform Fig. (3) shows whole device utilization summary of our FPGA and how much detection of devices also shown Fig. (4) shows input test patterns are frequently send to X-filling, after that X- bits are filled in every clock cycle. This operation accomplished within few seconds. Fig- 5: Codeword generation waveform Fig. (5) shows code generation waveform for each input, code word can be generated with same bit size and width size Fig. (6) shows overall compression waveform for large size input of benchmark circuit. Fig-6: Compression waveform Table-2. shows experimental results on shift-in power reduction. It can be accomplished by various CUT. Based on this results we easily analyze our scheme provide better shift-in power reduction. TABLE III. Shows comparison of compression ratio of various CUT,
  • 5. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Special Issue: 02 | Mar-2014 | ETCAN-2-14, Available @ http://www.ijret.org 61 Table -2: Experimental results on shift-in power reduction CUT Size of in/ou t # SFF # patt ern %X- bits Average shift-in transition 0 fill 1 fill ours S1585 0 611/ 684 597 846 98.71 6059.45 6985.2 1970 S3593 2 214/ 213 179 641 49.08 854.68 706.7 419 S3841 7 1664/ 1742 163 6 349 8 98.23 12330.5 11001. 4 4524 Table -3: Experimental results on shift-in power reduction CUT ORIGINAL TEST VOLUME COMPRESSION RATIO GOLOMB FDR OURS S115850 137174 87.61 77.56 80 S13207 576800 89.03 84.73 91 S38417 5031936 89.86 79.00 87 5. CONCLUSIONS Thus the proposed scheme of test data volume and high test power reduction are greatly achieved. Test power is minimized by adjacent X-filling and test data volume is compressed by SAFDR. In SAFDR codeword is generated by new formulated codeword generator for large number of bits in runs. Due to this storage of test pattern memory also effectively reduced so size of chip is minimized and degradation is very less. The experimental results on ISCAS‘ 89 benchmark circuit shows that our scheme provides better efficiency as well as significant reduction in test power. REFERENCES [1]. P.Girard, ‖Survey of low-power testing of VLSI circuits,‖IEEE Des. Test Comput., vol. 19, no.3, pp. 80-90, May-Jun. 2002. [2]. Usha Mehta, Kankar Dasgupta and Niranjan Devashrayee, ―Suitability of various low-power testing techniques for IP core- based SoC: a survey‖, Hindawi Publishing Corporation VLSI Design, Volume 2011. [3]. S. Balatsouka, V. Tenentes, X. Kavousianos, K. Chakrabarty ―Defect Aware X- filling for Low power Scan Testing‖, 978-3-9810801-6-2/DATE10 © 2010 EDAA, pp. 873-878. [4]. Anshuman Chandra, Krishnendu Chakrabarty and Rafael A.Medina, ―How effective are compression codes for reducing test data volume‖, Proc. of the 20th IEEE VLSI Test Symposium (VTS.02), 2002. [5]. Sybille Hellebrand, Armin Würtenberger, ―Alternating Run-Length Coding – A Technique for Improved Test Data Compression‖, October 10 – 11, 2002. [6]. Dong-Sup Song, Jin-Ho Ahn, Tae-Jin Kim And Sungho Kang, MTR-Fill: A simulated annealing-based x-filling technique to reduce test power dissipation for scan based designs‖, IEICE Trans. Inf and Syst, Vol: E91-D, No:4, April 2008. [7]. Usha S. Mehta, Kankar S. Dasgupta And Niranjan M.Devashrayee, ―Run-length-based test data compression techniques: how far from entropy and power bounds—a survey‖, VLSI Design, Volume 2010. [8]. S Sivanantham, K Sarathkumar, Jincy P Manuel, P S Mallick CSP-Filling: ―A New X-filling Technique to Reduce Capture and Shift capture power‖ 2012 International Symposium on Electronic System Design IEEE DOI 10.1109/ISED.2012.62 135. [9]. S.Sivanantham, V.Sandeep, P.S.Mallick, J.Raja Paul Perinbam ―A novel approch for simultaneous reduction of shift and capture power for scan based testing‖, In Proc. International Conference on Signal Processing, Communication, Computing and Networking Technologies (ICSCCN), pp. 418-423, 2011. [10]. Jia Li, Student Member, IEEE, Qiang Xu, Member, IEEE, YuHu, Member, IEEE, and Xiaowei Li ‖x-filling for simultaneous shift- and capture-power reduction in at-speed scan-based testing‖, IEEE VOL. 18, NO. 7, JULY 2010. [11]. Chandra and K Chakrabarthy, ―System on a chip test data compression and decompression architectures based on golomb codes‖, IEEE Trans. Computer Aided Design of Integrated Circuits, vol:20, no:3, March 2001. [12]. Jas and N. Touba, ―Test vector compression via cyclical scan chains and its application to testing core-based designs,‖ in Proceedings of the IEEE International Test Conference (ITC '98), pp. 458–464, IEEE CS, Washington, DC, USA, October 1998. [13]. Abhijit Jas, Jayabrata Ghosh-Dastidar, Mom-Eng Ng And Nur Touba, ―An efficient test vector compression scheme using selective huffman coding‖, IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems, Vol 22, No:6, June 2003. [14]. K. M. Butler, et al. Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques. In Proc. International Test Conference (ITC), pp. 355–364, 2004 [15]. J. Li, Q. Xu, Y. Hu, and X. Li. On Reducing Both Shift and Capture Power for Scan-Based Testing. In Proc. Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 653-658, 2008 [16]. J. Li, Q. Xu, Y. Hu, and X. Li. iFill: An Impact- Oriented X- Filling Method for Shift- and Capture-Power Reduction in At- Speed Scan-Based Testing. In Proc. Design, Automation, and Test in Europe (DATE), pp. 1184-1189, 2008. [17]. N. Tauba, ―Survey of test vector compression‖ IEEE Transaction Design & Test of Computers, pp. 294–303, 2006. [18]. A. El-Maleh and R. Al-Abaji, ―Extended frequency- directed run-length code with improved application to system- on-a chip test data compression,‖ in Proceedings of the 8th IEEE International Conference on Electronic Circuits and Systems (ICECS ‘02), vol. 2, pp. 449–452, Dubrovnik, Croatia, September 2002.