SlideShare une entreprise Scribd logo
1  sur  3
Télécharger pour lire hors ligne
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 164
POWER ANALYSIS OF 4T SRAM BY STACKING TECHNIQUE USING
TANNER TOOL
Harsh Raj1
, Rakesh Jain2
1
M.tech Student, Department of ECE, SGVU, Jaipur, Rajasthan, India
2
Assistant Professor, Department of ECE, SGVU, Jaipur, Rajasthan, India
Abstract
As the technology in electronic circuits is improving, the complexity in these circuits also increases. The complexity in the circuits
leads to the need of that type of circuits which are portable and fast circuits. The portability in the electronic circuits are achieved
by the use of battery. So we have to develop such type of circuits that consume very less power. The major focus in designing a
high performance digital system such as microprocessors and various Digital signal Processors is given to low power design. The
main part of any digital system is its memory unit. It is not possible to design a digital system without memory. So we can say
that memory is the main part which utilize the maximum power in the system. The most used memory cell in the digital systems is
the SRAM cells. They are the static RAM cells. Low-power Random Access Memory (RAM) has seen a remarkable and rapid
progress in power reduction. The high density and low power SRAMs are needed for application such as hand held devices,
laptops, notebooks, IC memory card because of the fact that they are portable devices and uses batteries for power source so they
must consume power as low as possible. The power dissipation reduction is not only due to power supply voltage reduction, but
also can be done by low power circuit techniques. It should be designed such that it provides a non- destructive read operation
and reliable write operation [1].The proposed 4-Transistor with resistive load memory cell makes use of Stacking effect to reduce
the leakage current. The stacking effect is used by switching off the stack transistor when the memory is in ideal mode. So the
stacking transistor implemented in the circuit behaves like a switch which remain in off state when the cell is in off state and it
remain in ON state when Write or Read operation is performed in the memory cell. The tool used is TANNER EDA for schematic
simulation. The simulation technology used is MOSIS 250nm.
Keywords- 4T SRAM, Stacking Effect, Conventional SRAM, TANNER EDA Tool, Tail NMOS
--------------------------------------------------------------------***------------------------------------------------------------------
1. INTRODUCTION
The SRAM cells are the main memory devices that are
being used in the modern digital system. These are the
semiconductor memories that are used as a storage unit.
Before SRAMs various other storage devices were used like
magnetic tapes, optical discs and drives. But after the
SRAM were manufactured these become the most widely
used storage device. SRAM is one of the two type of RAM,
the other one is the DRAM. SRAMs are the volatile storage
device, means the data stored on it are not permanent. Here
data is lost when power is removed. Unlike DRAM, SRAM
does not require data refresh, means the data in SRAM does
not „leak away‟, so no need to refresh cycle in SRAM.
These memory devices can be manufactured on a single
chip. The single chip fabrication increase the density of
components on the chip thus causing increase in power
dissipation. So there is requirement of such design which
dissipates low power. For low power operation unnecessary
usage of power should be reduced. The main parameter
causing increase in power dissipation is Leakage current.
Leakage power contains mainly three components, they are
Gate Leakage, Sub threshold Leakage and Junction
Tunneling Leakage In this paper the leakage current will be
reduced by using tail NMOS, i.e. Stacking Effect [2].
2. CONVENTIONAL 4T SRAM CELL
The 4T SRAM consists of two cross coupled inverters and
two access transistors. The load devices used in this design
are made of poly silicon resistors or it can be depletion type
nMOS or pMOS. The enhancement type nMOS are used as
the pass gates which acts as the data access switches. The
use of resistive load
Inverters with un doped poly silicon resistance in the latch
structure results in a significantly more compact cell size as
compared to the other SRAM design. The value of the
resistance used in this design should be low such that it can
attain acceptable noise margin for the resistive load inverter.
On the other hand, a high value of load resistance is used to
reduce the amount of standby current being driven by the
memory cell. So there is a balance between high resistance
required for power and to provide wider noise margin[3].
Fig-1: 4T SRAM Cell
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 165
2.1 Operation of 4T SRAM
The basic operation of any SRAM is performed in three
different modes. The modes are Standby Mode, Write Mode
and Read Mode. These operation are performed by using
two nMOS, two pass transistor both of which are driven by
row select line or the „word line‟. The 4T SRAM Cell is
accessed through two Bit Lines. This arrangement is more
reliable.
2.1.1 Standby Mode
In this mode the word line is not asserted. The pass
transistor will disconnect the cell from the bit lines. So if the
word line is not asserted then the access transistor or pass
transistor does not let the memory cell to connect with the
bit lines (Bit and Bit bar).This will cause the two cross
coupled inverter formed to continue to strengthen each other
to the supply. When cell is in standby mode no action could
be taken and to store the data more power is consumed by
the SRAM. So there is need of techniques to reduce the
leakage current.
2.1.2 Read Mode
The read operation is started by enabling the word line
(WL) and joining the bit lines Bit and Bit bar to the internal
nodes of the cell. The voltage of column Bit is remained
high and volume of Bit bar is pulled down. Now the
difference in the voltages of both the columns are detected
and the difference is amplified as a logic „1‟ output. The
read operation for „0‟ is performed vice-versa. The
difference between Bit and Bit bar should be minimum and
this difference is sensed and amplified as logic „0‟ [3].
Fig-2: Design of Basic 4T SRAM Cell
2.1.3 Write Mode
The write operation is performed by applying the desired
value to the bit line „Bit‟. Like if we wish to write logic „1‟
then we make „Bit‟ to high and „Bit bar‟ to low. Similarly if
we want to write logic „0‟ then we perform the operation in
vice-versa. The word line WL should be asserted for this
mode.
3. PROPOSED SRAM CELL DESIGN
The proposed SRAM cell design is shown in Figure-3. In
the proposed design the assimilation of power and area are
put together as compared to the previous design. The
propose design targets the 4T SRAM Cell with no
functionality disorder, at the same time it also uses the
stacking at the tail transistor with suitable aspect ratio (W/L)
ration for the transistor.In Stacking Technique both NMOS
transistor and PMOS transistors in various logic circuits can
be split into two transistors. The current flowing through the
NMOS transistor stack reduces due to the increase in the
source to substrate voltage in the NMOS transistor and also
due to an increase in the drain to source voltage in the
NMOS transistor. The proposed SRAM cell design is based
on the fact that the barrier height of hole (4.5 eV) is greater
than the barrier height of electrons (3.1 eV). Due to this fact,
when the nMOS is in OFF state the leakage current will be
lower[4]. The main reason of stacking the nMOS transistor
in leakage power reduction is due to the self reverse biasing
of the transistor connected in series[4]. Here the tail
transistors are connected the control signal „CS‟. When the
word line is asserted means when word line= „1‟, the cell
behaves as a normal 4T SRAM cell and the pass transistor
are turned ON. When word line is not asserted means word
line = „0‟, then the pass transistor is OFF and is operated in
standby mode.
Fig-3: Proposed SRAM Cell Design
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
_______________________________________________________________________________________
Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 166
The stacking transistor is controlled by control signal CS.
These nMOS transistor behaves as a switch. It depends on
the input signal CS. If CS = „1‟ the transistor will work as
close switch or ON and when CS = „0‟ then the transistors
will act as open switch or OFF state. The read, write and
standby operation is same as the conventional 4T SRAM.
The stacking effect depends on the control signal CS. To
sink the leakage current the stacking transistor should be in
ON state. Hence the control signal should be „1‟ means CS=
„1‟. This arises the need of transistor of larger size. The
increase in size of transistor will improve the read time of
cell. This will affect the threshold voltage and thus reduce
the speed. The external control signal can be produced
through a separate row decoder and this circuit is activated
before the word line is activated for the read, write operation
[4].
4. SIMULATION ANALYSIS
The tool used for schematic simulation of conventional
SRAM Cell and the proposed SRAM cell is TANNER EDA
for. The simulation technology used is MOSIS 250nm. The
parameters of the circuits and simulation conditions are
summarized in Table-1.
Table:1 Parameters of the circuits
Device Name MOS Size(nm)
nMOS(NMOS_1,2,3,4) W/L=2.25/2.50
nMOS(NMOS_5,6) W/L=1.25/0.25
Simulation Condition
Vdd 2.5V (DC)
Word line 5.0V (Pulse)
Bit line 2.5V (DC)
5. RESULTS AND CONCLUSIONS
The simulation of conventional as well as the proposed cell
has been performed according to the parameters shown in
Table-1. The results we obtain shows that the power
consumption of proposed cell is much less than the
conventional SRAM cell. The comparison of both the circuit
for write „1‟ operation can be given with the help of Table-
2.
The proposed SRAM Design is intent to realize to consume
less area as well less power compared to previous design;
the incorporation of Stacking Technique in the proposed
design is intent to bring a significant reduction in power
consumption as compared to design in Figure-2. So we
found that we can reduce the power consumption in SRAM
by using stacking technique.
Table-2: Comparison of both circuits for write „1‟
Conventional SRAM cell Proposed SRAM Cell
Pmax≈9.9381µw Pmax ≈8.5974µw
Pmin≈ 7.9882µw Pmin≈ 6.5542µw
Pavg≈ 8.9203µw Pavg≈ 7.4822µw
REFERENCES
[1]. Simran Kaur, Ashwani Kumar, “Analysis of Low
POWER SRAM Memory Cell using Tanner Tool”,
International Journal of Electronics & Communication
Technology (IJECT), Vol. 3, Issue 1. Jan-March 2012.
[2]. Shreya R. Patel, Mr. K.R. Bhatt, Ms. Rachna Jani,
“Leakage Current Reduction Techniques in SRAM”,
International Journal of Engineering & Technology(IJERT),
Vol. 2, Issue 1, January-2013.
[3]. S. Kang, Y. Leblebici. “CMOS Digital Integrated
Circuits”, McGraw Hill, Second Edition, 1999, pp. 417-422.
[4]. Neeraj Kumar Shukla, R.K.Singh, Manisha Pattanaik,
“Design and Analysis of a Novel Low-Power SRAM Bit-
Cell Structure at Deep-Sub-Micron CMOS Technology for
Mobile Multimedia Applications, Vol. 2, Issue 5, 2011,
International Journal of Advanced Computer Science and
Applications.
BIOGRAPHIES
1
Harsh Raj, a M.Tech student (V.L.S.I) at Gyan Vihar
School of Engineering and Technology,
Jaipur, Rajasthan. He has completed his
B.Tech (Electronics and Communication)
in 2013 under dual Degree Program at
Gyan Vihar School of Engineering and
Technology, Jaipur. His main research interest are in Low-
Power Digital Design, Leakage power reduction of nano-
scale CMOS.
2
Rakesh Jain, is an Assistant Professor at
Gyan Vihar School of Engineering and
Technology. He has completed his M.tech
(V.L.S.I) from Mewar University in 2012,
He has completed is B.E in Electronics and
Communication from Rajasthan University
in 2009. His main research interest is in Low- power Digital
Design.

Contenu connexe

Tendances

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 

Tendances (17)

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
B0540714
B0540714B0540714
B0540714
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Low power sram
Low power sramLow power sram
Low power sram
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
C0211520
C0211520C0211520
C0211520
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 

En vedette

Manifold image processing for see through effect in
Manifold image processing for see through effect inManifold image processing for see through effect in
Manifold image processing for see through effect ineSAT Publishing House
 
Disaster recovery sustainable housing
Disaster recovery sustainable housingDisaster recovery sustainable housing
Disaster recovery sustainable housingeSAT Publishing House
 
Comparative study on single and double-pass
Comparative study on single  and double-passComparative study on single  and double-pass
Comparative study on single and double-passeSAT Publishing House
 
E hdas e- healthcare diagnosis & advisory system
E  hdas e- healthcare diagnosis & advisory systemE  hdas e- healthcare diagnosis & advisory system
E hdas e- healthcare diagnosis & advisory systemeSAT Publishing House
 
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...eSAT Publishing House
 
Low complexity digit serial fir filter by multiple constant multiplication al...
Low complexity digit serial fir filter by multiple constant multiplication al...Low complexity digit serial fir filter by multiple constant multiplication al...
Low complexity digit serial fir filter by multiple constant multiplication al...eSAT Publishing House
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loopeSAT Publishing House
 
Efficient way of user search location in query processing
Efficient way of user search location in query processingEfficient way of user search location in query processing
Efficient way of user search location in query processingeSAT Publishing House
 
A novel tool for stereo matching of images
A novel tool for stereo matching of imagesA novel tool for stereo matching of images
A novel tool for stereo matching of imageseSAT Publishing House
 
The review on automatic license plate recognition
The review on automatic license plate recognitionThe review on automatic license plate recognition
The review on automatic license plate recognitioneSAT Publishing House
 
Power system harmonic reduction using shunt active filter
Power system harmonic reduction using shunt active filterPower system harmonic reduction using shunt active filter
Power system harmonic reduction using shunt active filtereSAT Publishing House
 
Fault diagnosis using genetic algorithms and
Fault diagnosis using genetic algorithms andFault diagnosis using genetic algorithms and
Fault diagnosis using genetic algorithms andeSAT Publishing House
 
Optimal cfo channel estimation for adaptive receiver design
Optimal cfo channel estimation for adaptive receiver designOptimal cfo channel estimation for adaptive receiver design
Optimal cfo channel estimation for adaptive receiver designeSAT Publishing House
 
Smoke detection in video for early warning using
Smoke detection in video for early warning usingSmoke detection in video for early warning using
Smoke detection in video for early warning usingeSAT Publishing House
 
Ensuring distributed accountability for data sharing in the cloud
Ensuring distributed accountability for data sharing in the cloudEnsuring distributed accountability for data sharing in the cloud
Ensuring distributed accountability for data sharing in the cloudeSAT Publishing House
 
Comparative study of tribological characteristics of
Comparative study of tribological characteristics ofComparative study of tribological characteristics of
Comparative study of tribological characteristics ofeSAT Publishing House
 
Pattern –based with surface based morphometry survey
Pattern –based with surface based morphometry surveyPattern –based with surface based morphometry survey
Pattern –based with surface based morphometry surveyeSAT Publishing House
 
Selective fitting strategy based real time placement algorithm for dynamicall...
Selective fitting strategy based real time placement algorithm for dynamicall...Selective fitting strategy based real time placement algorithm for dynamicall...
Selective fitting strategy based real time placement algorithm for dynamicall...eSAT Publishing House
 
Experimental study of weld characteristics during friction stir welding (fsw)...
Experimental study of weld characteristics during friction stir welding (fsw)...Experimental study of weld characteristics during friction stir welding (fsw)...
Experimental study of weld characteristics during friction stir welding (fsw)...eSAT Publishing House
 

En vedette (20)

Manifold image processing for see through effect in
Manifold image processing for see through effect inManifold image processing for see through effect in
Manifold image processing for see through effect in
 
Disaster recovery sustainable housing
Disaster recovery sustainable housingDisaster recovery sustainable housing
Disaster recovery sustainable housing
 
Comparative study on single and double-pass
Comparative study on single  and double-passComparative study on single  and double-pass
Comparative study on single and double-pass
 
E hdas e- healthcare diagnosis & advisory system
E  hdas e- healthcare diagnosis & advisory systemE  hdas e- healthcare diagnosis & advisory system
E hdas e- healthcare diagnosis & advisory system
 
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...
Effect of cobalt chloride on the oxygen consumption and ventilation rate of a...
 
Low complexity digit serial fir filter by multiple constant multiplication al...
Low complexity digit serial fir filter by multiple constant multiplication al...Low complexity digit serial fir filter by multiple constant multiplication al...
Low complexity digit serial fir filter by multiple constant multiplication al...
 
Design of all digital phase locked loop
Design of all digital phase locked loopDesign of all digital phase locked loop
Design of all digital phase locked loop
 
Efficient way of user search location in query processing
Efficient way of user search location in query processingEfficient way of user search location in query processing
Efficient way of user search location in query processing
 
A novel tool for stereo matching of images
A novel tool for stereo matching of imagesA novel tool for stereo matching of images
A novel tool for stereo matching of images
 
The review on automatic license plate recognition
The review on automatic license plate recognitionThe review on automatic license plate recognition
The review on automatic license plate recognition
 
Power system harmonic reduction using shunt active filter
Power system harmonic reduction using shunt active filterPower system harmonic reduction using shunt active filter
Power system harmonic reduction using shunt active filter
 
Fault diagnosis using genetic algorithms and
Fault diagnosis using genetic algorithms andFault diagnosis using genetic algorithms and
Fault diagnosis using genetic algorithms and
 
Optimal cfo channel estimation for adaptive receiver design
Optimal cfo channel estimation for adaptive receiver designOptimal cfo channel estimation for adaptive receiver design
Optimal cfo channel estimation for adaptive receiver design
 
Smoke detection in video for early warning using
Smoke detection in video for early warning usingSmoke detection in video for early warning using
Smoke detection in video for early warning using
 
Ensuring distributed accountability for data sharing in the cloud
Ensuring distributed accountability for data sharing in the cloudEnsuring distributed accountability for data sharing in the cloud
Ensuring distributed accountability for data sharing in the cloud
 
Comparative study of tribological characteristics of
Comparative study of tribological characteristics ofComparative study of tribological characteristics of
Comparative study of tribological characteristics of
 
Pattern –based with surface based morphometry survey
Pattern –based with surface based morphometry surveyPattern –based with surface based morphometry survey
Pattern –based with surface based morphometry survey
 
Selective fitting strategy based real time placement algorithm for dynamicall...
Selective fitting strategy based real time placement algorithm for dynamicall...Selective fitting strategy based real time placement algorithm for dynamicall...
Selective fitting strategy based real time placement algorithm for dynamicall...
 
Magicus clock
Magicus clockMagicus clock
Magicus clock
 
Experimental study of weld characteristics during friction stir welding (fsw)...
Experimental study of weld characteristics during friction stir welding (fsw)...Experimental study of weld characteristics during friction stir welding (fsw)...
Experimental study of weld characteristics during friction stir welding (fsw)...
 

Similaire à Power Analysis of 4T SRAM Cell Using Stacking Technique

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationIRJET Journal
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioningeSAT Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...IDES Editor
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
Optimized CAM Design
Optimized CAM DesignOptimized CAM Design
Optimized CAM DesignIJMER
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 

Similaire à Power Analysis of 4T SRAM Cell Using Stacking Technique (20)

A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Low power sram design using block partitioning
Low power sram design using block partitioningLow power sram design using block partitioning
Low power sram design using block partitioning
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Df33642645
Df33642645Df33642645
Df33642645
 
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
Analysis and Simulation of Sub-threshold Leakage Current in P3 SRAM Cell at D...
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
Optimized CAM Design
Optimized CAM DesignOptimized CAM Design
Optimized CAM Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 

Plus de eSAT Publishing House

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnameSAT Publishing House
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...eSAT Publishing House
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnameSAT Publishing House
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...eSAT Publishing House
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaeSAT Publishing House
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingeSAT Publishing House
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...eSAT Publishing House
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...eSAT Publishing House
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...eSAT Publishing House
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a revieweSAT Publishing House
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...eSAT Publishing House
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard managementeSAT Publishing House
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallseSAT Publishing House
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...eSAT Publishing House
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...eSAT Publishing House
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaeSAT Publishing House
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structureseSAT Publishing House
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingseSAT Publishing House
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...eSAT Publishing House
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...eSAT Publishing House
 

Plus de eSAT Publishing House (20)

Likely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnamLikely impacts of hudhud on the environment of visakhapatnam
Likely impacts of hudhud on the environment of visakhapatnam
 
Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...Impact of flood disaster in a drought prone area – case study of alampur vill...
Impact of flood disaster in a drought prone area – case study of alampur vill...
 
Hudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnamHudhud cyclone – a severe disaster in visakhapatnam
Hudhud cyclone – a severe disaster in visakhapatnam
 
Groundwater investigation using geophysical methods a case study of pydibhim...
Groundwater investigation using geophysical methods  a case study of pydibhim...Groundwater investigation using geophysical methods  a case study of pydibhim...
Groundwater investigation using geophysical methods a case study of pydibhim...
 
Flood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, indiaFlood related disasters concerned to urban flooding in bangalore, india
Flood related disasters concerned to urban flooding in bangalore, india
 
Enhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity buildingEnhancing post disaster recovery by optimal infrastructure capacity building
Enhancing post disaster recovery by optimal infrastructure capacity building
 
Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...Effect of lintel and lintel band on the global performance of reinforced conc...
Effect of lintel and lintel band on the global performance of reinforced conc...
 
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
Wind damage to trees in the gitam university campus at visakhapatnam by cyclo...
 
Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...Wind damage to buildings, infrastrucuture and landscape elements along the be...
Wind damage to buildings, infrastrucuture and landscape elements along the be...
 
Shear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a reviewShear strength of rc deep beam panels – a review
Shear strength of rc deep beam panels – a review
 
Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...Role of voluntary teams of professional engineers in dissater management – ex...
Role of voluntary teams of professional engineers in dissater management – ex...
 
Risk analysis and environmental hazard management
Risk analysis and environmental hazard managementRisk analysis and environmental hazard management
Risk analysis and environmental hazard management
 
Review study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear wallsReview study on performance of seismically tested repaired shear walls
Review study on performance of seismically tested repaired shear walls
 
Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...Monitoring and assessment of air quality with reference to dust particles (pm...
Monitoring and assessment of air quality with reference to dust particles (pm...
 
Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...Low cost wireless sensor networks and smartphone applications for disaster ma...
Low cost wireless sensor networks and smartphone applications for disaster ma...
 
Coastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of indiaCoastal zones – seismic vulnerability an analysis from east coast of india
Coastal zones – seismic vulnerability an analysis from east coast of india
 
Can fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structuresCan fracture mechanics predict damage due disaster of structures
Can fracture mechanics predict damage due disaster of structures
 
Assessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildingsAssessment of seismic susceptibility of rc buildings
Assessment of seismic susceptibility of rc buildings
 
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
A geophysical insight of earthquake occurred on 21 st may 2014 off paradip, b...
 
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
Effect of hudhud cyclone on the development of visakhapatnam as smart and gre...
 

Dernier

Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substationstephanwindworld
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleAlluxio, Inc.
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...Erbil Polytechnic University
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)Dr SOUNDIRARAJ N
 
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgUnit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgsaravananr517913
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...121011101441
 
Configuration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentConfiguration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentBharaniDharan195623
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - GuideGOPINATHS437943
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptSAURABHKUMAR892774
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxRomil Mishra
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingBootNeck1
 
welding defects observed during the welding
welding defects observed during the weldingwelding defects observed during the welding
welding defects observed during the weldingMuhammadUzairLiaqat
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONjhunlian
 
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Erbil Polytechnic University
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solidnamansinghjarodiya
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating SystemRashmi Bhat
 

Dernier (20)

Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substation
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Correctly Loading Incremental Data at Scale
Correctly Loading Incremental Data at ScaleCorrectly Loading Incremental Data at Scale
Correctly Loading Incremental Data at Scale
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...
 
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
UNIT III ANALOG ELECTRONICS (BASIC ELECTRONICS)
 
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfgUnit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
Unit7-DC_Motors nkkjnsdkfnfcdfknfdgfggfg
 
Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...Instrumentation, measurement and control of bio process parameters ( Temperat...
Instrumentation, measurement and control of bio process parameters ( Temperat...
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
Configuration of IoT devices - Systems managament
Configuration of IoT devices - Systems managamentConfiguration of IoT devices - Systems managament
Configuration of IoT devices - Systems managament
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - Guide
 
Arduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.pptArduino_CSE ece ppt for working and principal of arduino.ppt
Arduino_CSE ece ppt for working and principal of arduino.ppt
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptx
 
POWER SYSTEMS-1 Complete notes examples
POWER SYSTEMS-1 Complete notes  examplesPOWER SYSTEMS-1 Complete notes  examples
POWER SYSTEMS-1 Complete notes examples
 
System Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event SchedulingSystem Simulation and Modelling with types and Event Scheduling
System Simulation and Modelling with types and Event Scheduling
 
welding defects observed during the welding
welding defects observed during the weldingwelding defects observed during the welding
welding defects observed during the welding
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
 
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solid
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating System
 

Power Analysis of 4T SRAM Cell Using Stacking Technique

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 164 POWER ANALYSIS OF 4T SRAM BY STACKING TECHNIQUE USING TANNER TOOL Harsh Raj1 , Rakesh Jain2 1 M.tech Student, Department of ECE, SGVU, Jaipur, Rajasthan, India 2 Assistant Professor, Department of ECE, SGVU, Jaipur, Rajasthan, India Abstract As the technology in electronic circuits is improving, the complexity in these circuits also increases. The complexity in the circuits leads to the need of that type of circuits which are portable and fast circuits. The portability in the electronic circuits are achieved by the use of battery. So we have to develop such type of circuits that consume very less power. The major focus in designing a high performance digital system such as microprocessors and various Digital signal Processors is given to low power design. The main part of any digital system is its memory unit. It is not possible to design a digital system without memory. So we can say that memory is the main part which utilize the maximum power in the system. The most used memory cell in the digital systems is the SRAM cells. They are the static RAM cells. Low-power Random Access Memory (RAM) has seen a remarkable and rapid progress in power reduction. The high density and low power SRAMs are needed for application such as hand held devices, laptops, notebooks, IC memory card because of the fact that they are portable devices and uses batteries for power source so they must consume power as low as possible. The power dissipation reduction is not only due to power supply voltage reduction, but also can be done by low power circuit techniques. It should be designed such that it provides a non- destructive read operation and reliable write operation [1].The proposed 4-Transistor with resistive load memory cell makes use of Stacking effect to reduce the leakage current. The stacking effect is used by switching off the stack transistor when the memory is in ideal mode. So the stacking transistor implemented in the circuit behaves like a switch which remain in off state when the cell is in off state and it remain in ON state when Write or Read operation is performed in the memory cell. The tool used is TANNER EDA for schematic simulation. The simulation technology used is MOSIS 250nm. Keywords- 4T SRAM, Stacking Effect, Conventional SRAM, TANNER EDA Tool, Tail NMOS --------------------------------------------------------------------***------------------------------------------------------------------ 1. INTRODUCTION The SRAM cells are the main memory devices that are being used in the modern digital system. These are the semiconductor memories that are used as a storage unit. Before SRAMs various other storage devices were used like magnetic tapes, optical discs and drives. But after the SRAM were manufactured these become the most widely used storage device. SRAM is one of the two type of RAM, the other one is the DRAM. SRAMs are the volatile storage device, means the data stored on it are not permanent. Here data is lost when power is removed. Unlike DRAM, SRAM does not require data refresh, means the data in SRAM does not „leak away‟, so no need to refresh cycle in SRAM. These memory devices can be manufactured on a single chip. The single chip fabrication increase the density of components on the chip thus causing increase in power dissipation. So there is requirement of such design which dissipates low power. For low power operation unnecessary usage of power should be reduced. The main parameter causing increase in power dissipation is Leakage current. Leakage power contains mainly three components, they are Gate Leakage, Sub threshold Leakage and Junction Tunneling Leakage In this paper the leakage current will be reduced by using tail NMOS, i.e. Stacking Effect [2]. 2. CONVENTIONAL 4T SRAM CELL The 4T SRAM consists of two cross coupled inverters and two access transistors. The load devices used in this design are made of poly silicon resistors or it can be depletion type nMOS or pMOS. The enhancement type nMOS are used as the pass gates which acts as the data access switches. The use of resistive load Inverters with un doped poly silicon resistance in the latch structure results in a significantly more compact cell size as compared to the other SRAM design. The value of the resistance used in this design should be low such that it can attain acceptable noise margin for the resistive load inverter. On the other hand, a high value of load resistance is used to reduce the amount of standby current being driven by the memory cell. So there is a balance between high resistance required for power and to provide wider noise margin[3]. Fig-1: 4T SRAM Cell
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 165 2.1 Operation of 4T SRAM The basic operation of any SRAM is performed in three different modes. The modes are Standby Mode, Write Mode and Read Mode. These operation are performed by using two nMOS, two pass transistor both of which are driven by row select line or the „word line‟. The 4T SRAM Cell is accessed through two Bit Lines. This arrangement is more reliable. 2.1.1 Standby Mode In this mode the word line is not asserted. The pass transistor will disconnect the cell from the bit lines. So if the word line is not asserted then the access transistor or pass transistor does not let the memory cell to connect with the bit lines (Bit and Bit bar).This will cause the two cross coupled inverter formed to continue to strengthen each other to the supply. When cell is in standby mode no action could be taken and to store the data more power is consumed by the SRAM. So there is need of techniques to reduce the leakage current. 2.1.2 Read Mode The read operation is started by enabling the word line (WL) and joining the bit lines Bit and Bit bar to the internal nodes of the cell. The voltage of column Bit is remained high and volume of Bit bar is pulled down. Now the difference in the voltages of both the columns are detected and the difference is amplified as a logic „1‟ output. The read operation for „0‟ is performed vice-versa. The difference between Bit and Bit bar should be minimum and this difference is sensed and amplified as logic „0‟ [3]. Fig-2: Design of Basic 4T SRAM Cell 2.1.3 Write Mode The write operation is performed by applying the desired value to the bit line „Bit‟. Like if we wish to write logic „1‟ then we make „Bit‟ to high and „Bit bar‟ to low. Similarly if we want to write logic „0‟ then we perform the operation in vice-versa. The word line WL should be asserted for this mode. 3. PROPOSED SRAM CELL DESIGN The proposed SRAM cell design is shown in Figure-3. In the proposed design the assimilation of power and area are put together as compared to the previous design. The propose design targets the 4T SRAM Cell with no functionality disorder, at the same time it also uses the stacking at the tail transistor with suitable aspect ratio (W/L) ration for the transistor.In Stacking Technique both NMOS transistor and PMOS transistors in various logic circuits can be split into two transistors. The current flowing through the NMOS transistor stack reduces due to the increase in the source to substrate voltage in the NMOS transistor and also due to an increase in the drain to source voltage in the NMOS transistor. The proposed SRAM cell design is based on the fact that the barrier height of hole (4.5 eV) is greater than the barrier height of electrons (3.1 eV). Due to this fact, when the nMOS is in OFF state the leakage current will be lower[4]. The main reason of stacking the nMOS transistor in leakage power reduction is due to the self reverse biasing of the transistor connected in series[4]. Here the tail transistors are connected the control signal „CS‟. When the word line is asserted means when word line= „1‟, the cell behaves as a normal 4T SRAM cell and the pass transistor are turned ON. When word line is not asserted means word line = „0‟, then the pass transistor is OFF and is operated in standby mode. Fig-3: Proposed SRAM Cell Design
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 _______________________________________________________________________________________ Volume: 03 Issue: 07 | Jul-2014, Available @ http://www.ijret.org 166 The stacking transistor is controlled by control signal CS. These nMOS transistor behaves as a switch. It depends on the input signal CS. If CS = „1‟ the transistor will work as close switch or ON and when CS = „0‟ then the transistors will act as open switch or OFF state. The read, write and standby operation is same as the conventional 4T SRAM. The stacking effect depends on the control signal CS. To sink the leakage current the stacking transistor should be in ON state. Hence the control signal should be „1‟ means CS= „1‟. This arises the need of transistor of larger size. The increase in size of transistor will improve the read time of cell. This will affect the threshold voltage and thus reduce the speed. The external control signal can be produced through a separate row decoder and this circuit is activated before the word line is activated for the read, write operation [4]. 4. SIMULATION ANALYSIS The tool used for schematic simulation of conventional SRAM Cell and the proposed SRAM cell is TANNER EDA for. The simulation technology used is MOSIS 250nm. The parameters of the circuits and simulation conditions are summarized in Table-1. Table:1 Parameters of the circuits Device Name MOS Size(nm) nMOS(NMOS_1,2,3,4) W/L=2.25/2.50 nMOS(NMOS_5,6) W/L=1.25/0.25 Simulation Condition Vdd 2.5V (DC) Word line 5.0V (Pulse) Bit line 2.5V (DC) 5. RESULTS AND CONCLUSIONS The simulation of conventional as well as the proposed cell has been performed according to the parameters shown in Table-1. The results we obtain shows that the power consumption of proposed cell is much less than the conventional SRAM cell. The comparison of both the circuit for write „1‟ operation can be given with the help of Table- 2. The proposed SRAM Design is intent to realize to consume less area as well less power compared to previous design; the incorporation of Stacking Technique in the proposed design is intent to bring a significant reduction in power consumption as compared to design in Figure-2. So we found that we can reduce the power consumption in SRAM by using stacking technique. Table-2: Comparison of both circuits for write „1‟ Conventional SRAM cell Proposed SRAM Cell Pmax≈9.9381µw Pmax ≈8.5974µw Pmin≈ 7.9882µw Pmin≈ 6.5542µw Pavg≈ 8.9203µw Pavg≈ 7.4822µw REFERENCES [1]. Simran Kaur, Ashwani Kumar, “Analysis of Low POWER SRAM Memory Cell using Tanner Tool”, International Journal of Electronics & Communication Technology (IJECT), Vol. 3, Issue 1. Jan-March 2012. [2]. Shreya R. Patel, Mr. K.R. Bhatt, Ms. Rachna Jani, “Leakage Current Reduction Techniques in SRAM”, International Journal of Engineering & Technology(IJERT), Vol. 2, Issue 1, January-2013. [3]. S. Kang, Y. Leblebici. “CMOS Digital Integrated Circuits”, McGraw Hill, Second Edition, 1999, pp. 417-422. [4]. Neeraj Kumar Shukla, R.K.Singh, Manisha Pattanaik, “Design and Analysis of a Novel Low-Power SRAM Bit- Cell Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia Applications, Vol. 2, Issue 5, 2011, International Journal of Advanced Computer Science and Applications. BIOGRAPHIES 1 Harsh Raj, a M.Tech student (V.L.S.I) at Gyan Vihar School of Engineering and Technology, Jaipur, Rajasthan. He has completed his B.Tech (Electronics and Communication) in 2013 under dual Degree Program at Gyan Vihar School of Engineering and Technology, Jaipur. His main research interest are in Low- Power Digital Design, Leakage power reduction of nano- scale CMOS. 2 Rakesh Jain, is an Assistant Professor at Gyan Vihar School of Engineering and Technology. He has completed his M.tech (V.L.S.I) from Mewar University in 2012, He has completed is B.E in Electronics and Communication from Rajasthan University in 2009. His main research interest is in Low- power Digital Design.