SlideShare une entreprise Scribd logo
1  sur  34
Télécharger pour lire hors ligne
Feldman Engineering




                                                    2.5D? 3D? What?
                          Overview of 3D Integrated Circuit Packaging and Test Challenges



                                                                                Ira Feldman
                                                                          November 11, 2011



© 2011 Feldman Engineering Corp.
  www.feldmanengineering.com          Silicon Valley Test Workshop 2011
Outline




                                   Silicon Valley Test Workshop 2011
}    Why 2.5D & 3D ICs?
}    Building stacks
      }    TSV Processes
      }    2.5D & 3D stacks
      }    Process & Test Flows
}  Wafer Probe Solutions
}  Key Organizations &
    Activities
}  Future?




                                            2
Silicon Valley Test Workshop 2011
   Disclaimer – This is the “new
frontier” and things will change…            3
Package Proliferation




                                                                 Silicon Valley Test Workshop 2011
“Backend to the Front Line” William Chen, ASE Group, SWTW 2011   4
Very low power & high density (small volume)




                                             Silicon Valley Test Workshop 2011
                                                      5
Flickr - blakespot
Silicon Valley Test Workshop 2011
   15x performance
    90% less space
70% less power per bit*

                                         6
* Micron – Hybrid Memory Cube
Outline




                                       Silicon Valley Test Workshop 2011
}    Why 2.5D & 3D ICs?
}    Building stacks
      }    TSV Processes
      }    2.5D & 3D stacks
      }    Process & Test Flows
}    Wafer Probe Solutions
}    Key Organizations & Activities
}    Future?




                                                7
“Stacking 1.0”




                                                     Silicon Valley Test Workshop 2011
             Toshiba’s 64 GB Embedded NAND Flash Module
                             December 2009           8
TSV Processing
                          3D TSV via integration MAIN scenarios




                                                                                                                                                      Silicon Valley Test Workshop 2011
                   Step #1                            Step #2                 Step #3            Step #4             Step #5             Step #6
                                                                                                  BEOL 450°C          Thinning +         De-Bonding
  Via                  TSV Etch                           TSV Fill            FEOL 1000°C                            Backside prep


  First
 Vias are
made before
  CMOS
                                                                                                                     Handling carrier



                                                                                                                      Thinning +
  Via               FEOL 1000°C                         TSV Etch               TSV Fill          BEOL 450°C          Backside prep
                                                                                                                                         De-Bonding


 Middle
  Vias are
made between
 CMOS and
                                                                                                                     Handling carrier
   BEOL


                                                                                                                       TSV Fill +
  Via
                                                      BEOL 450°C               Thinning                                                  De-Bonding
                    FEOL 1000°C                                                                    TSV Etch          Backside prep

  Last
  Vias are
 made after
   BEOL
                                                                              Handling carrier    Handling carrier    Handling carrier



                                                                                                     TSV Etch           TSV Fill +
                     FEOL 1000°C                          Bonding              Thinning
Via After                  +
                                                                                                                      Backside prep

Bonding               BEOL 450°C
 Vias are
 made after
  Bonding
(C2W or W2W)


                                                                             Courtesy of Yole Developpement                                           9
© 2009 •   21
                Copyrights © Yole Développement SARL. All rights reserved.
3D integration process options using TSV
 TSV process flow




                                                                                                            Silicon Valley Test Workshop 2011
           Siウェハ



                       FEOL                        BEOL
                                                                           Assembly / Packaging
                   (transistors)                (metal layers)
    TSV
   process
             (1) Via-first               (2) Via-middle                (3) Via-last

 TSV interconnect methods                                                            KGD: known good die
          (1) C2C: chip-to-chip           (2) C2W: chip-to-wafer                 (3) W2W: wafer-to-wafer



   Pros   Flexible                        Flexible                               High throughput
          Use of KGD                      Use of KGD
   Cons   Handling, Bonding               Handling, Bonding                      Same chip size, Yield
               “Panel Discussion: Advanced Packaging and 3D Technologies”, Kenichi Osada, Hitachi,
                                                                                                            10
                   16th Asia and South Pacific Design Automation Conference (ASP-DAC) 2011
Silicon Valley Test Workshop 2011
“Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al.
                                                                                                                                          11
Hybrid Memory Cube - Micron




                                                Silicon Valley Test Workshop 2011
Justin Rattner (Intel CTO) Keynote @ IDF 2011   12
Process Flow & Test Coverage

                                             TODAY




                                                                                                                Silicon Valley Test Workshop 2011
Wafer Test                    Final Test                  Board Test                     System Test

Parametric

                               Thin
                  Die                                    Place
                             Singulate       Packaged                         Board/
   Fabricate      (on                          Part     Solder /              Module   Integrate       System
                 wafer)   Bond / Flip Chip               Attach
                                Seal




 Fab Process                Fab   & Post Process              PCA Process                Integration Process
        Functionality             Functionality                    Functionality             Functionality

 Partial to full speed            At full speed



                                                                                                                13
Known Good Die - Flow & Test Coverage

                                                        TODAY




                                                                                                                                          Silicon Valley Test Workshop 2011
  Wafer Test*                                                             Board Test                      System Test

 Parametric

                                          Burn-In
                            Die                                         Place
                                          Thin                                               Board/
      Fabricate             (on                             KGD        Solder /              Module     Integrate             System
                           wafer)       Singulate                       Attach
                                      Additional QA




   Fab Process                                                               PCA Process                  Integration Process
          Functionality                                                           Functionality               Functionality

         At full speed



* Predominant path to KGD is via wafer based testing. There are some solutions for die based socket testing such as Aehr Test’s DiePak.
                                                                                                                                          14
Stacked Die – KGD (singulated)




                                                                                       Silicon Valley Test Workshop 2011
          Stack Test ???         Board Test                     System Test
  KGD
   1
…

                                Place
                        Die                          Board/
           Stack               Solder /                       Integrate       System
                       Stack                         Module
                                Attach



  KGD
   n        Stack Process            PCA Process                Integration Process
           Functionality ???              Functionality             Functionality

           At full speed???



                                                                                       15
Stacked Die – NKBD (wafers)
   Wafers or reconstituted wafers of Not Known Bad Die:
   •  Thinned




                                                                                                         Silicon Valley Test Workshop 2011
   •  Partial to full speed tested


           Wafer Test ???       Stack Test ???       Board Test                     System Test
Wafer
 1
…


                                                     Place
          Stack
                    Wafer      Singulate
                                            Die                           Board/   Integrate   System
                                                    Solder /              Module
                    Stack                  Stack     Attach




Wafer
 n          Stack Process        Dice Process            PCA Process               Integration Process
                                                                                      Functionality
           Functionality ???    Functionality ???              Functionality



           At full speed???     At full speed???



                                                                                                         16
Stacked Die – Sub Stacks (wafers)




                                                  Silicon Valley Test Workshop 2011
           Memory Stack Test
   Wafer   @ Memory Vendor
 …  1


           Stack   Memory
                    Stack
                               Full Stack Test
                               @ Integrator ???
   Wafer
    n

                               Stack    Memory
                                         Stack


                     CPU
                                          CPU
                     Wafer



                                                  17
Silicon Valley Test Workshop 2011
“3D TSV Program Overview – Presented to GSA Oct 20, 2011” Sitaram Arkalgud, SEMATECH
                                                                                  18
Scan Testing
}    Provide access and control to cores at all test steps




                                                                         Silicon Valley Test Workshop 2011
      }    If proper infrastructure (P1838) & connectivity is present
}    Does not replace full access to all “pads” for KGD.




                                                                         19
Outline




                                       Silicon Valley Test Workshop 2011
}    Why 2.5D & 3D ICs?
}    Building stacks
      }    TSV Processes
      }    2.5D & 3D stacks
      }    Process & Test Flows
}    Wafer Probe Solutions
}    Key Organizations & Activities
}    Future?




                                          20
ISSCC 2011 PAP
    Mobile DRAM predecessor to Wide I/O




                                                                                                                       Silicon Valley Test Workshop 2011
       28.5.1: Chip architecture of 1Gb > 1000 micro-bumps image of
FigureAl pads (muxed) to                Wide-I/O DRAM and SEM
microbumps.
       test with existing                 50 µm pitch array                                  Figure 28.5.2: Block and timing diagr
       probe technology


                                            Figure 28.5.7: Photograph of
  “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4×128 I/Os Using TSV-
     Based Stacking” Jung-Sik Kim, et.al., Samsung Electronics, ISSCC 2011
                                                                             fabricated chip with microbumps and vertical section
                                                                                                                       21
                                      2-stacked Wide-I/O DRAMs.
Electroplated Micro-Bump Bonding




                                        Silicon Valley Test Workshop 2011
•  Cylindrical bumps
    Side1: Cu (5 µm )
    Side2: CuSn (5 µm + 3.5 µm)

•  Size (today)
    Diameter : 25 µm
    Pitch       : 40 µm
    Scaling down…




 Courtesy of Cascade Microtech & IMEC
                                        22
FormFactor: NanoPierce™ Contact Solution
     FormFactor NanoPierce Contact




                                                                                                                                Silicon Valley Test Workshop 2011
          Contact Surface for NanoPierce™ Contact
40umx50um Array
     FormFactor Proprietary NanoPierce™ Contacts are Highly Scalable
    Metal “NanoFiber”
     contact element
     Thousands Easily Fabricated at Very Dense Pitch
                                 15um

     11
                                                                                                                                23
“Challenges and Solutions for Testing of TSV and MicroBump Devices by Direct Connection”, Ben Eldridge, 3D Test Workshop 2011
                       Metal NanoFiber Contacts With Many Contact Points in
                       15 Micron Square
Touchdown Technologies: Metal MEMS Probes




                                                                             Silicon Valley Test Workshop 2011
“A Low-Force MEMS Probe Solution For Fine-
Pitch 3D-SIC Wafer Test”, Matthew W. Losey,   Probe beam: ~10 µm W x 80 µm L
                                                                             24
et. al., 3D Test Workshop 2011                                      100 µm
Cascade Microtech: Lithographically Printed
100 µm pitch                                                      35 µm pitch
                                                                  ~1 gF/tip




                                                                                Silicon Valley Test Workshop 2011
~10 gF/tip
                                                     Scale by K

                                                      XYZ/K
                                                      Force/K2




Fully-routed 6 x 50 array at 40 x 50 µm pitch
     New space transformer technology




“Probing Strategies for Through-Silicon Stacking”,
    Eric Strid, et. al, 3D Test Workshop 2011                                   25
Outline




                                       Silicon Valley Test Workshop 2011
}    Why 2.5D & 3D ICs?
}    Building stacks
      }    TSV Processes
      }    2.5D & 3D stacks
      }    Process & Test Flows
}    Wafer Probe Solutions
}    Key Organizations & Activities
}    Future?




                                          26
Silicon Valley Test Workshop 2011
                                                                                                            27
“3D IC Technology’s Promise for Communications and Storage ICs”, Brian D. Gerson, PMC-Sierra, GSA 4/28/11
Organizations & Programs




                                                                        Silicon Valley Test Workshop 2011
}    IEEE P1838 - Standard for Test Access Architecture for Three-
      Dimensional Stacked Integrated Circuits
      standards.ieee.org/develop/project/1838.html
}    Semi wiki.sematech.org/3D-Standards
}    Sematech – 3D Program www.sematech.org/research/3D
      }    Unit Process, Module Development, Enablement Center
}    Global Semiconductor Alliance – 3D-IC Working Group
      www.gsaglobal.org/3dic
}    JEDEC – Wide I/O www.jedec.org
}    IMEC www2.imec.be
}    Industrial Technology Research Institute (ITRI) www.itri.org.tw
}    A*Star Institute of Microelectronics www.ime.a-star.edu.sg


                                                                           28
Recent Conferences




                                                                    Silicon Valley Test Workshop 2011
}    GSA Memory Conference (Mar ‘11)
      www.gsaglobal.org/events/2011/0331/program.aspx
}    IEEE Semiconductor Wafer Test Workshop (June ‘11 & ‘12)
      www.swtest.org
}    ATE Vision 2020 (w/Semicon West – Jul ‘11)
      www.atevision.com
}    Semicon Taiwan – SiP Global Summit 2011(Sep ‘11)
      }    3D IC Test Forum semicontaiwan.org/en/node/1566
      }    3D IC Technology Forum semicontaiwan.org/en/node/1571
}    IEEE International Workshop on Testing Three-Dimensional
      Stacked Integrated Circuits (w/ITC – Sep ’11 / Nov ‘12)
      3dtest.tttc-events.org
}    MEPTEC 2.5D, 3D and Beyond – Bringing 3D Integration to
      the Packaging Mainstream (Nov 9, 11) www.meptec.org
}    MEPTEC-Semi KGD in an Era of Multi-Die Packaging and 3D
      Integration (Nov 10, 11) www.meptec.org
                                                                       29
Outline




                                       Silicon Valley Test Workshop 2011
}    Why 2.5D & 3D ICs?
}    Building stacks
      }    TSV Processes
      }    2.5D & 3D stacks
      }    Process & Test Flows
}    Wafer Probe Solutions
}    Key Organizations & Activities
}    Future?




                                          30
TBD - To Be Determined




                                     Silicon Valley Test Workshop 2011
}  Yield
}  Preferred Via Process
}  Singulate dies before or after
    stacking
}  Wafer probe and handling of
    die stacks on wafer or
    singulated stacks
}  Business models – who is
    responsible for what



                                        31
Future




                                                         Silicon Valley Test Workshop 2011
                       }  Hard work – getting it to
                           work!
                       }  Increased test complexity
                           earlier in process
                       }  Shrinks – especially micro-
                           bumps and pitch




   Profits or Costs?
                                                            32
Thank You!




                             Silicon Valley Test Workshop 2011
        Ira Feldman
ira@feldmanengineering.com

       Visit my blog
 www.hightechbizdev.com
 for additional resources.



                                33
Other References & Resources




                                                                   Silicon Valley Test Workshop 2011
}    Hybrid Memory Cube Consortium www.hybridmemorycube.org
}    3D-IC Alliance www.3d-ic.org (event & blogs/paper listing)


}    Discussion groups / 3D news:
      }    www.3dincites.com
      }    www.3d-ics.com
      }    3D-IC group on www.linkedin.com




                                                                   34

Contenu connexe

Tendances

High Performance Printed Circuit Boards - Lecture #2
High Performance Printed Circuit Boards - Lecture #2High Performance Printed Circuit Boards - Lecture #2
High Performance Printed Circuit Boards - Lecture #2Samsung Electro-Mechanics
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges newchiportal
 
EDL2003_RF power silicon-on-glass VDMOSFET
EDL2003_RF power silicon-on-glass VDMOSFETEDL2003_RF power silicon-on-glass VDMOSFET
EDL2003_RF power silicon-on-glass VDMOSFETSteven Theeuwen
 
Metal bonding alternatives to frit and anodic technologies for wlp
Metal bonding alternatives to frit and anodic technologies for wlpMetal bonding alternatives to frit and anodic technologies for wlp
Metal bonding alternatives to frit and anodic technologies for wlpSUSS MicroTec
 
Intel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseIntel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseangsikod
 
High Performance Printed Circuit Boards - Lecture #1
High Performance Printed Circuit Boards - Lecture #1High Performance Printed Circuit Boards - Lecture #1
High Performance Printed Circuit Boards - Lecture #1Samsung Electro-Mechanics
 
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSHIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSJonas Sundqvist
 
Karimanal chipstacking fea_draft_corrected
Karimanal chipstacking fea_draft_correctedKarimanal chipstacking fea_draft_corrected
Karimanal chipstacking fea_draft_correctedKamal Karimanal
 
Low Cost Multiple Row QFN
Low Cost Multiple Row QFNLow Cost Multiple Row QFN
Low Cost Multiple Row QFNJean Ramos
 
High-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGHigh-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGJonas Sundqvist
 
Printed Circuit Board Manufacturing
Printed Circuit Board ManufacturingPrinted Circuit Board Manufacturing
Printed Circuit Board ManufacturingRichard Puthota
 
High Performance Printed Circuit Boards - Lecture #4
High Performance Printed Circuit Boards - Lecture #4High Performance Printed Circuit Boards - Lecture #4
High Performance Printed Circuit Boards - Lecture #4Samsung Electro-Mechanics
 
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)The Influence of RF Substrate Materials on Passive Intermodulation (PIM)
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)Manfred Huschka
 

Tendances (20)

High Performance Printed Circuit Boards - Lecture #2
High Performance Printed Circuit Boards - Lecture #2High Performance Printed Circuit Boards - Lecture #2
High Performance Printed Circuit Boards - Lecture #2
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges new
 
EDL2003_RF power silicon-on-glass VDMOSFET
EDL2003_RF power silicon-on-glass VDMOSFETEDL2003_RF power silicon-on-glass VDMOSFET
EDL2003_RF power silicon-on-glass VDMOSFET
 
Metal bonding alternatives to frit and anodic technologies for wlp
Metal bonding alternatives to frit and anodic technologies for wlpMetal bonding alternatives to frit and anodic technologies for wlp
Metal bonding alternatives to frit and anodic technologies for wlp
 
SMT - Rework on the PTH
SMT - Rework on the PTHSMT - Rework on the PTH
SMT - Rework on the PTH
 
Intel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press releaseIntel 45nm high-k metal-gate press release
Intel 45nm high-k metal-gate press release
 
High Performance Printed Circuit Boards - Lecture #1
High Performance Printed Circuit Boards - Lecture #1High Performance Printed Circuit Boards - Lecture #1
High Performance Printed Circuit Boards - Lecture #1
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONSHIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
HIGH-K DEVICES BY ALD FOR SEMICONDUCTOR APPLICATIONS
 
Karimanal chipstacking fea_draft_corrected
Karimanal chipstacking fea_draft_correctedKarimanal chipstacking fea_draft_corrected
Karimanal chipstacking fea_draft_corrected
 
Low Cost Multiple Row QFN
Low Cost Multiple Row QFNLow Cost Multiple Row QFN
Low Cost Multiple Row QFN
 
Ppt 2
Ppt 2Ppt 2
Ppt 2
 
Ppt 3
Ppt 3Ppt 3
Ppt 3
 
High-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMGHigh-k für Alle - Beyond DRAM capacitors and HKMG
High-k für Alle - Beyond DRAM capacitors and HKMG
 
Taconic TacLED-3D
Taconic TacLED-3DTaconic TacLED-3D
Taconic TacLED-3D
 
Ppt 1
Ppt 1Ppt 1
Ppt 1
 
Printed Circuit Board Manufacturing
Printed Circuit Board ManufacturingPrinted Circuit Board Manufacturing
Printed Circuit Board Manufacturing
 
High Performance Printed Circuit Boards - Lecture #4
High Performance Printed Circuit Boards - Lecture #4High Performance Printed Circuit Boards - Lecture #4
High Performance Printed Circuit Boards - Lecture #4
 
High k dielectric
High k dielectricHigh k dielectric
High k dielectric
 
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)The Influence of RF Substrate Materials on Passive Intermodulation (PIM)
The Influence of RF Substrate Materials on Passive Intermodulation (PIM)
 

En vedette

Three dimensional silicon integration
Three dimensional silicon integrationThree dimensional silicon integration
Three dimensional silicon integrationMohamed Ashraf Atoua
 
3D Integrated Circuits and their economic feasibility
3D Integrated Circuits and their economic feasibility3D Integrated Circuits and their economic feasibility
3D Integrated Circuits and their economic feasibilityJeffrey Funk
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D ICZvi Or-Bach
 
Wafer probe technology & application overview ira feldman 101108
Wafer probe technology & application overview   ira feldman 101108Wafer probe technology & application overview   ira feldman 101108
Wafer probe technology & application overview ira feldman 101108Ira Feldman
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Ira Feldman
 
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ira Feldman
 
3D IC Technology
3D IC Technology3D IC Technology
3D IC TechnologyAnupama K
 
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...IJMER
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...Yole Developpement
 

En vedette (13)

3 d ic
3 d ic3 d ic
3 d ic
 
Three dimensional silicon integration
Three dimensional silicon integrationThree dimensional silicon integration
Three dimensional silicon integration
 
3D Integrated Circuits and their economic feasibility
3D Integrated Circuits and their economic feasibility3D Integrated Circuits and their economic feasibility
3D Integrated Circuits and their economic feasibility
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D IC
 
Wafer probe technology & application overview ira feldman 101108
Wafer probe technology & application overview   ira feldman 101108Wafer probe technology & application overview   ira feldman 101108
Wafer probe technology & application overview ira feldman 101108
 
Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020Lessons for MEMS Test Engineers - Ira Feldman 111020
Lessons for MEMS Test Engineers - Ira Feldman 111020
 
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
Ideal 3D Stacked Die Test - IEEE Semiconductor Wafer Test Workshop SWTW 2013
 
3D IC Technology
3D IC Technology3D IC Technology
3D IC Technology
 
3d ic
3d ic3d ic
3d ic
 
3d ic's ppt..
3d ic's ppt..3d ic's ppt..
3d ic's ppt..
 
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...
A Review on Thermal Aware Optimization of Three Dimensional Integrated Circui...
 
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
3DIC and 2.5D TSV Interconnect for Advanced Packaging: 2016 Business Update -...
 
3D ICs
3D ICs3D ICs
3D ICs
 

Similaire à Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111

DfR Advanced Packaging
DfR Advanced PackagingDfR Advanced Packaging
DfR Advanced PackagingGreg Caswell
 
2011 年會-IC封測產業技術發展現況與未來挑戰
2011 年會-IC封測產業技術發展現況與未來挑戰2011 年會-IC封測產業技術發展現況與未來挑戰
2011 年會-IC封測產業技術發展現況與未來挑戰CHENHuiMei
 
Presentation from physical to virtual to cloud emc
Presentation   from physical to virtual to cloud emcPresentation   from physical to virtual to cloud emc
Presentation from physical to virtual to cloud emcxKinAnx
 
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...ayubimoak
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsBeneq
 
Heat Seal Characteristics of COC/PE Blends
Heat Seal Characteristics of COC/PE BlendsHeat Seal Characteristics of COC/PE Blends
Heat Seal Characteristics of COC/PE BlendsTopasAdvancedPolymers
 
Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationUsing Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationInvensas
 
Vapor Phase Versus Convection Reflow
Vapor Phase Versus Convection ReflowVapor Phase Versus Convection Reflow
Vapor Phase Versus Convection ReflowRockwell Automation
 
Hacia el Data Center virtualizado- Fabian Domínguez
Hacia el Data Center virtualizado- Fabian DomínguezHacia el Data Center virtualizado- Fabian Domínguez
Hacia el Data Center virtualizado- Fabian DomínguezEventos_PrinceCooke
 
Trill spb-comparison-extract
Trill spb-comparison-extractTrill spb-comparison-extract
Trill spb-comparison-extractIssacYuan
 
The 2012 transition from dfm to pdfd leor nevo-intel
The 2012 transition from dfm to pdfd  leor nevo-intelThe 2012 transition from dfm to pdfd  leor nevo-intel
The 2012 transition from dfm to pdfd leor nevo-intelchiportal
 
MEI 2012 Tech Roadmap
MEI 2012 Tech RoadmapMEI 2012 Tech Roadmap
MEI 2012 Tech RoadmapVinceBeusan
 
Accelerating science with Puppet
Accelerating science with PuppetAccelerating science with Puppet
Accelerating science with PuppetTim Bell
 
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)Taiwan Led Forum 2012 Mc Clear Cree (R2 2)
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)Mark McClear
 
Dc tco in_a_nutshell
Dc tco in_a_nutshellDc tco in_a_nutshell
Dc tco in_a_nutshellerjosito
 

Similaire à Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111 (20)

DfR Advanced Packaging
DfR Advanced PackagingDfR Advanced Packaging
DfR Advanced Packaging
 
2011 年會-IC封測產業技術發展現況與未來挑戰
2011 年會-IC封測產業技術發展現況與未來挑戰2011 年會-IC封測產業技術發展現況與未來挑戰
2011 年會-IC封測產業技術發展現況與未來挑戰
 
Presentation from physical to virtual to cloud emc
Presentation   from physical to virtual to cloud emcPresentation   from physical to virtual to cloud emc
Presentation from physical to virtual to cloud emc
 
10 fn s23
10 fn s2310 fn s23
10 fn s23
 
10 fn s23
10 fn s2310 fn s23
10 fn s23
 
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
 
Atomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power ElectronicsAtomic Layer Deposition solutions for SiC Power Electronics
Atomic Layer Deposition solutions for SiC Power Electronics
 
Heat Seal Characteristics of COC/PE Blends
Heat Seal Characteristics of COC/PE BlendsHeat Seal Characteristics of COC/PE Blends
Heat Seal Characteristics of COC/PE Blends
 
Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS ApplicationUsing Low Cost of Ownership Direct Bonding Technologies For MEMS Application
Using Low Cost of Ownership Direct Bonding Technologies For MEMS Application
 
Vapor Phase Versus Convection Reflow
Vapor Phase Versus Convection ReflowVapor Phase Versus Convection Reflow
Vapor Phase Versus Convection Reflow
 
Hacia el Data Center virtualizado- Fabian Domínguez
Hacia el Data Center virtualizado- Fabian DomínguezHacia el Data Center virtualizado- Fabian Domínguez
Hacia el Data Center virtualizado- Fabian Domínguez
 
Trill spb-comparison-extract
Trill spb-comparison-extractTrill spb-comparison-extract
Trill spb-comparison-extract
 
Harness proD
Harness proDHarness proD
Harness proD
 
The 2012 transition from dfm to pdfd leor nevo-intel
The 2012 transition from dfm to pdfd  leor nevo-intelThe 2012 transition from dfm to pdfd  leor nevo-intel
The 2012 transition from dfm to pdfd leor nevo-intel
 
Vapor phase technology 2011
Vapor phase technology 2011Vapor phase technology 2011
Vapor phase technology 2011
 
MEI 2012 Tech Roadmap
MEI 2012 Tech RoadmapMEI 2012 Tech Roadmap
MEI 2012 Tech Roadmap
 
Accelerating science with Puppet
Accelerating science with PuppetAccelerating science with Puppet
Accelerating science with Puppet
 
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)Taiwan Led Forum 2012 Mc Clear Cree (R2 2)
Taiwan Led Forum 2012 Mc Clear Cree (R2 2)
 
Jpeg2000
Jpeg2000Jpeg2000
Jpeg2000
 
Dc tco in_a_nutshell
Dc tco in_a_nutshellDc tco in_a_nutshell
Dc tco in_a_nutshell
 

Plus de Ira Feldman

IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...Ira Feldman
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIra Feldman
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621Ira Feldman
 
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2Ira Feldman
 
Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman
 
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIra Feldman
 

Plus de Ira Feldman (6)

IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
IEEE Semiconductor Wafer Test Workshop SWTW 2014 - International Technology R...
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira FeldmanIEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman
 
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
IEEE SWTW 2011 Probe Card Cost Drivers - Ira Feldman 110621
 
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
IEEE SWTW 2012 Road to 450 mm Semiconductor Wafers - Ira Feldman li2
 
Ira Feldman Resume 120603
Ira Feldman Resume 120603Ira Feldman Resume 120603
Ira Feldman Resume 120603
 
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615bIEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
IEEE SWTW 2011 - Probe Card Cost Drivers - Ira Feldman 110615b
 

Dernier

The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Paola De la Torre
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...apidays
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEarley Information Science
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilV3cube
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024The Digital Insurer
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsRoshan Dwivedi
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 

Dernier (20)

The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Developing An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of BrazilDeveloping An App To Navigate The Roads of Brazil
Developing An App To Navigate The Roads of Brazil
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 

Silicon Valley Test Workshop - 2.5D-3D What - Ira Feldman 111111

  • 1. Feldman Engineering 2.5D? 3D? What? Overview of 3D Integrated Circuit Packaging and Test Challenges Ira Feldman November 11, 2011 © 2011 Feldman Engineering Corp. www.feldmanengineering.com Silicon Valley Test Workshop 2011
  • 2. Outline Silicon Valley Test Workshop 2011 }  Why 2.5D & 3D ICs? }  Building stacks }  TSV Processes }  2.5D & 3D stacks }  Process & Test Flows }  Wafer Probe Solutions }  Key Organizations & Activities }  Future? 2
  • 3. Silicon Valley Test Workshop 2011 Disclaimer – This is the “new frontier” and things will change… 3
  • 4. Package Proliferation Silicon Valley Test Workshop 2011 “Backend to the Front Line” William Chen, ASE Group, SWTW 2011 4
  • 5. Very low power & high density (small volume) Silicon Valley Test Workshop 2011 5 Flickr - blakespot
  • 6. Silicon Valley Test Workshop 2011 15x performance 90% less space 70% less power per bit* 6 * Micron – Hybrid Memory Cube
  • 7. Outline Silicon Valley Test Workshop 2011 }  Why 2.5D & 3D ICs? }  Building stacks }  TSV Processes }  2.5D & 3D stacks }  Process & Test Flows }  Wafer Probe Solutions }  Key Organizations & Activities }  Future? 7
  • 8. “Stacking 1.0” Silicon Valley Test Workshop 2011 Toshiba’s 64 GB Embedded NAND Flash Module December 2009 8
  • 9. TSV Processing 3D TSV via integration MAIN scenarios Silicon Valley Test Workshop 2011 Step #1 Step #2 Step #3 Step #4 Step #5 Step #6 BEOL 450°C Thinning + De-Bonding Via TSV Etch TSV Fill FEOL 1000°C Backside prep First  Vias are made before CMOS Handling carrier Thinning + Via FEOL 1000°C TSV Etch TSV Fill BEOL 450°C Backside prep De-Bonding Middle  Vias are made between CMOS and Handling carrier BEOL TSV Fill + Via BEOL 450°C Thinning De-Bonding FEOL 1000°C TSV Etch Backside prep Last  Vias are made after BEOL Handling carrier Handling carrier Handling carrier TSV Etch TSV Fill + FEOL 1000°C Bonding Thinning Via After + Backside prep Bonding BEOL 450°C Vias are made after Bonding (C2W or W2W) Courtesy of Yole Developpement 9 © 2009 • 21 Copyrights © Yole Développement SARL. All rights reserved.
  • 10. 3D integration process options using TSV  TSV process flow Silicon Valley Test Workshop 2011 Siウェハ FEOL BEOL Assembly / Packaging (transistors) (metal layers) TSV process (1) Via-first (2) Via-middle (3) Via-last  TSV interconnect methods KGD: known good die (1) C2C: chip-to-chip (2) C2W: chip-to-wafer (3) W2W: wafer-to-wafer Pros Flexible Flexible High throughput Use of KGD Use of KGD Cons Handling, Bonding Handling, Bonding Same chip size, Yield “Panel Discussion: Advanced Packaging and 3D Technologies”, Kenichi Osada, Hitachi, 10 16th Asia and South Pacific Design Automation Conference (ASP-DAC) 2011
  • 11. Silicon Valley Test Workshop 2011 “Wafer Probing on Fine-Pitch Micro-Bumps for 2.5D- and 3D-SICs”, Erik Jan Marinissen (IMEC), Peter Hanaway (Cascade Microtech), et. al. 11
  • 12. Hybrid Memory Cube - Micron Silicon Valley Test Workshop 2011 Justin Rattner (Intel CTO) Keynote @ IDF 2011 12
  • 13. Process Flow & Test Coverage TODAY Silicon Valley Test Workshop 2011 Wafer Test Final Test Board Test System Test Parametric Thin Die Place Singulate Packaged Board/ Fabricate (on Part Solder / Module Integrate System wafer) Bond / Flip Chip Attach Seal Fab Process Fab & Post Process PCA Process Integration Process Functionality Functionality Functionality Functionality Partial to full speed At full speed 13
  • 14. Known Good Die - Flow & Test Coverage TODAY Silicon Valley Test Workshop 2011 Wafer Test* Board Test System Test Parametric Burn-In Die Place Thin Board/ Fabricate (on KGD Solder / Module Integrate System wafer) Singulate Attach Additional QA Fab Process PCA Process Integration Process Functionality Functionality Functionality At full speed * Predominant path to KGD is via wafer based testing. There are some solutions for die based socket testing such as Aehr Test’s DiePak. 14
  • 15. Stacked Die – KGD (singulated) Silicon Valley Test Workshop 2011 Stack Test ??? Board Test System Test KGD 1 … Place Die Board/ Stack Solder / Integrate System Stack Module Attach KGD n Stack Process PCA Process Integration Process Functionality ??? Functionality Functionality At full speed??? 15
  • 16. Stacked Die – NKBD (wafers) Wafers or reconstituted wafers of Not Known Bad Die: •  Thinned Silicon Valley Test Workshop 2011 •  Partial to full speed tested Wafer Test ??? Stack Test ??? Board Test System Test Wafer 1 … Place Stack Wafer Singulate Die Board/ Integrate System Solder / Module Stack Stack Attach Wafer n Stack Process Dice Process PCA Process Integration Process Functionality Functionality ??? Functionality ??? Functionality At full speed??? At full speed??? 16
  • 17. Stacked Die – Sub Stacks (wafers) Silicon Valley Test Workshop 2011 Memory Stack Test Wafer @ Memory Vendor … 1 Stack Memory Stack Full Stack Test @ Integrator ??? Wafer n Stack Memory Stack CPU CPU Wafer 17
  • 18. Silicon Valley Test Workshop 2011 “3D TSV Program Overview – Presented to GSA Oct 20, 2011” Sitaram Arkalgud, SEMATECH 18
  • 19. Scan Testing }  Provide access and control to cores at all test steps Silicon Valley Test Workshop 2011 }  If proper infrastructure (P1838) & connectivity is present }  Does not replace full access to all “pads” for KGD. 19
  • 20. Outline Silicon Valley Test Workshop 2011 }  Why 2.5D & 3D ICs? }  Building stacks }  TSV Processes }  2.5D & 3D stacks }  Process & Test Flows }  Wafer Probe Solutions }  Key Organizations & Activities }  Future? 20
  • 21. ISSCC 2011 PAP Mobile DRAM predecessor to Wide I/O Silicon Valley Test Workshop 2011 28.5.1: Chip architecture of 1Gb > 1000 micro-bumps image of FigureAl pads (muxed) to Wide-I/O DRAM and SEM microbumps. test with existing 50 µm pitch array Figure 28.5.2: Block and timing diagr probe technology Figure 28.5.7: Photograph of “A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4×128 I/Os Using TSV- Based Stacking” Jung-Sik Kim, et.al., Samsung Electronics, ISSCC 2011 fabricated chip with microbumps and vertical section 21 2-stacked Wide-I/O DRAMs.
  • 22. Electroplated Micro-Bump Bonding Silicon Valley Test Workshop 2011 •  Cylindrical bumps Side1: Cu (5 µm ) Side2: CuSn (5 µm + 3.5 µm) •  Size (today) Diameter : 25 µm Pitch : 40 µm Scaling down… Courtesy of Cascade Microtech & IMEC 22
  • 23. FormFactor: NanoPierce™ Contact Solution FormFactor NanoPierce Contact Silicon Valley Test Workshop 2011 Contact Surface for NanoPierce™ Contact 40umx50um Array FormFactor Proprietary NanoPierce™ Contacts are Highly Scalable Metal “NanoFiber” contact element Thousands Easily Fabricated at Very Dense Pitch 15um 11 23 “Challenges and Solutions for Testing of TSV and MicroBump Devices by Direct Connection”, Ben Eldridge, 3D Test Workshop 2011 Metal NanoFiber Contacts With Many Contact Points in 15 Micron Square
  • 24. Touchdown Technologies: Metal MEMS Probes Silicon Valley Test Workshop 2011 “A Low-Force MEMS Probe Solution For Fine- Pitch 3D-SIC Wafer Test”, Matthew W. Losey, Probe beam: ~10 µm W x 80 µm L 24 et. al., 3D Test Workshop 2011 100 µm
  • 25. Cascade Microtech: Lithographically Printed 100 µm pitch 35 µm pitch ~1 gF/tip Silicon Valley Test Workshop 2011 ~10 gF/tip Scale by K XYZ/K Force/K2 Fully-routed 6 x 50 array at 40 x 50 µm pitch New space transformer technology “Probing Strategies for Through-Silicon Stacking”, Eric Strid, et. al, 3D Test Workshop 2011 25
  • 26. Outline Silicon Valley Test Workshop 2011 }  Why 2.5D & 3D ICs? }  Building stacks }  TSV Processes }  2.5D & 3D stacks }  Process & Test Flows }  Wafer Probe Solutions }  Key Organizations & Activities }  Future? 26
  • 27. Silicon Valley Test Workshop 2011 27 “3D IC Technology’s Promise for Communications and Storage ICs”, Brian D. Gerson, PMC-Sierra, GSA 4/28/11
  • 28. Organizations & Programs Silicon Valley Test Workshop 2011 }  IEEE P1838 - Standard for Test Access Architecture for Three- Dimensional Stacked Integrated Circuits standards.ieee.org/develop/project/1838.html }  Semi wiki.sematech.org/3D-Standards }  Sematech – 3D Program www.sematech.org/research/3D }  Unit Process, Module Development, Enablement Center }  Global Semiconductor Alliance – 3D-IC Working Group www.gsaglobal.org/3dic }  JEDEC – Wide I/O www.jedec.org }  IMEC www2.imec.be }  Industrial Technology Research Institute (ITRI) www.itri.org.tw }  A*Star Institute of Microelectronics www.ime.a-star.edu.sg 28
  • 29. Recent Conferences Silicon Valley Test Workshop 2011 }  GSA Memory Conference (Mar ‘11) www.gsaglobal.org/events/2011/0331/program.aspx }  IEEE Semiconductor Wafer Test Workshop (June ‘11 & ‘12) www.swtest.org }  ATE Vision 2020 (w/Semicon West – Jul ‘11) www.atevision.com }  Semicon Taiwan – SiP Global Summit 2011(Sep ‘11) }  3D IC Test Forum semicontaiwan.org/en/node/1566 }  3D IC Technology Forum semicontaiwan.org/en/node/1571 }  IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (w/ITC – Sep ’11 / Nov ‘12) 3dtest.tttc-events.org }  MEPTEC 2.5D, 3D and Beyond – Bringing 3D Integration to the Packaging Mainstream (Nov 9, 11) www.meptec.org }  MEPTEC-Semi KGD in an Era of Multi-Die Packaging and 3D Integration (Nov 10, 11) www.meptec.org 29
  • 30. Outline Silicon Valley Test Workshop 2011 }  Why 2.5D & 3D ICs? }  Building stacks }  TSV Processes }  2.5D & 3D stacks }  Process & Test Flows }  Wafer Probe Solutions }  Key Organizations & Activities }  Future? 30
  • 31. TBD - To Be Determined Silicon Valley Test Workshop 2011 }  Yield }  Preferred Via Process }  Singulate dies before or after stacking }  Wafer probe and handling of die stacks on wafer or singulated stacks }  Business models – who is responsible for what 31
  • 32. Future Silicon Valley Test Workshop 2011 }  Hard work – getting it to work! }  Increased test complexity earlier in process }  Shrinks – especially micro- bumps and pitch Profits or Costs? 32
  • 33. Thank You! Silicon Valley Test Workshop 2011 Ira Feldman ira@feldmanengineering.com Visit my blog www.hightechbizdev.com for additional resources. 33
  • 34. Other References & Resources Silicon Valley Test Workshop 2011 }  Hybrid Memory Cube Consortium www.hybridmemorycube.org }  3D-IC Alliance www.3d-ic.org (event & blogs/paper listing) }  Discussion groups / 3D news: }  www.3dincites.com }  www.3d-ics.com }  3D-IC group on www.linkedin.com 34