Copyright (c) 2005, 2006, 2007 Leonardo Caballero.
Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License".
Articulo de ¿Que es Hardware Abierto?, la cual toca los siguientes temas: Problemática actual, Historia, Lee Felsenstein y el Homebrew Computer Club, FPGAs y Open Design Circuits. Definición y Filosofía según su naturaleza (Hardware estático y Hardware reconfigurable); y según su filosofía, Open Hardware, Open source hardware, Free hardware design, Libre hardware design, Free hardware. Además trata sobre el Licenciamiento, Comercialización, Modelos de intercambio, Proyectos, Comunidades de Hardware Abierto, Ventajas y Desventajas, Situación actual en Venezuela, Conclusiones,Sitios de Referencia.
Licencia: GNU Free Document License.
Formato: OpenOffice.org 2.0 Impress y Writer.
Duración: Minimó 45 minutos, Máximo 1 hora, más 15 minutos de preguntas.
Dictada en: II CNSL-Mérida, II CNSL-Portuguesa, IV FMCL, I Jornada sobre Herramientas Libres IGLURBE, Charla de Software y Hardware Libre en VIT.
Ultima actualización: 15/10/2007.
Lugar: Mérida, Estado Mérida, Venezuela.
Autor: T.S.U. Leonardo Caballero.
Email: lcaballero at linuxmail.org, leonardocaballero at gmail.com.
Domicilo Web: http://lcaballero.8k.com/
Descarga los archivos PDF y editables: https://finde.gob.ve/frs/?group_id=56&release_id=70
Visualizar via SlideShare:
http://www.slideshare.net/lcaballero/
2. ¿Qué es Hardware Abierto?
Licencia
Copyright (c) 2005, 2006, 2007 Leonardo Caballero.
Permission is granted to copy, distribute and/or modify this document
under the terms of the GNU Free Documentation License, Version 1.2
or any later version published by the Free Software Foundation; with
no Invariant Sections, no FrontCover Texts, and no BackCover Texts.
A copy of the license is included in the section entitled quot;GNU Free
Documentation Licensequot;.
¡Diseña y libera el diseño!
3. ¿Qué es Hardware Abierto?
GNU Free Documentation License
Puede obtener una copia de la licencia quot;GNU Free Documentation
Licensequot; en los ficheros llamados quot;copyright.txtquot; en ingles,
quot;copyright.es.txtquot; en español o en los siguientes sitios en Internet:
• http://www.gnu.org/copyleft/fdl.html
• http://www.fsf.org/licensing/licenses/fdl.html
¡Diseña y libera el diseño!
4. ¿Qué es Hardware Abierto?
Ficha Técnica
• T.S.U. Leonardo Caballero.
• Especialidad en Informática.
• Investigación, diseño, desarrollo y documentación de sistemas.
• Activista del conocimiento libre promoviendo Software y Hardware Libre.
• Linux User #369081.
• Usuario GNU/Linux desde Mayo 2002.
• Miembro activo VELUG desde Mayo 2003.
• Miembro activo GULMER, GLOVE, GUGVE y SOLVE desde 2005.
¡Diseña y libera el diseño!
5. ¿Qué es Hardware Abierto?
Contenido
• Problemática actual.
• Historia.
• Lee Felsenstein y el Homebrew Computer Club.
• FPGAs y Open Design Circuits.
• Definición y clasificación.
• Según su naturaleza.
• Hardware estático.
• Hardware reconfigurable.
• Según su filosofía.
• Open Hardware, Open source hardware.
• Free hardware design, Libre hardware design.
¡Diseña y libera el diseño!
6. ¿Qué es Hardware Abierto?
Contenido :: (Continuación)
• Definición y clasificación :: (Continuación)
• Según su filosofía.
• Open Hardware.
• Open source hardware.
• Free hardware design.
• Libre hardware design.
• Free hardware.
• Licenciamiento.
• Comercialización.
• Modelos de intercambio.
• Proyectos.
¡Diseña y libera el diseño!
8. ¿Qué es Hardware Abierto?
Problemática Actual
• Dependencia tecnológica extrajera.
• Proceso de Producción.
• Altos costos de producción.
• El conocimiento lo poseen pocas empresas.
• Gran inversión de tiempo en trabajos de diseño redundantes.
• La alianza quot;Trusted Computingquot; de Microsoft, Intel, IBM y otros más.
• Gestión de derechos digitales en inglés o DRM (Digital Rights Management).
¡Diseña y libera el diseño!
9. ¿Qué es Hardware Abierto?
Historia
• En los años 1970.
• Lee Felsenstein y el Homebrew Computer Club: era un híbrido con los
elementos del movimiento radical del estudiantado de los años 60, de
los activistas que trabajaban el área de computación de la comunidad
de Berkeley y de los aficionados a los hobbys electrónicos.
• En los años 1990.
• FPGAs y Open Design Circuits: El sitio Web de Reinoud Lamberts,
Open Design Circuits, fue el primero en proponer la creación de una
comunidad del diseño del hardware con el espíritu del software libre.
¡Diseña y libera el diseño!
10. ¿Qué es Hardware Abierto?
Definición y clasificación
• Según su naturaleza.
• Hardware reconfigurable.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cont8 is
port (clk : in std_logic; -- Reloj
clear : in std_logic;
q : out std_logic_vector (7
downto 0)); --Salida
end cont8;
architecture beh of cont8 is
signal cuenta : std_logic_vector (7
downto 0);
Diseño en HDL Proceso de simulación y síntesis
¡Diseña y libera el diseño!
13. ¿Qué es Hardware Abierto?
Licencias
• Grupos que están usando la GPL.
• Free Model Foundry.
• ESA Sparc.
• Grupos que están otras licencias.
• FreeIP Project (al estilo MIT)
• LART (al estilo MIT).
• GNUBook (basada en la GNU GPL, con las adiciones de los derechos
ambientales y humanos).
¡Diseña y libera el diseño!
14. ¿Qué es Hardware Abierto?
Licencias :: Continuación
• Grupos que están desarrollando nuevas licencias.
• Simputer GPL, la licencia de hardware es basada en la licencia GPL.
• Freedom CPU.
• OpenIPCores OHGPL.
• The Open NDA.
• OpenPPC (basada en Apple Public Source License).
• Hardware Design Public License Open Collector, basada en la GPL.
¡Diseña y libera el diseño!
15. ¿Qué es Hardware Abierto?
Licencias :: Continuación
• Licencias utilizadas para hardware reconfigurable.
• LGPL GNU Lesser General Public License
• GPL GNU General Public License
• FREE IP GENERAL PUBLIC LICENSE
• DSL Design Science License.
• Licencias utilizadas para hardware estático.
• SIMPUTER GENERAL PUBLIC LICENSE.
• Handy Board, Ballonboard.
¡Diseña y libera el diseño!
16. ¿Qué es Hardware Abierto?
Licencias :: Continuación
• Licencias utilizadas para hardware estático :: Continuación.
• Ronja GPL con modificaciones para abarcar el hardware.
• The LART hardware license.
• GPL GNU General Public License.
• DSL Design Science License.
¡Diseña y libera el diseño!
17. ¿Qué es Hardware Abierto?
Comercialización
• Un diseño de hardware libre puede ser implementado por una empresa para
su posterior comercialización. La única premisa: mantener el diseño libre.
• El miedo de las empresas a hacer libres sus diseños debe ser acabado.
• Realmente la fuente de negocio, tanto en el caso del hardware como en el
software no se encuentra en las empresas ya establecidas, sino en la nueva
generación de diseñadores.
¡Diseña y libera el diseño!
18. ¿Qué es Hardware Abierto?
Modelos de intercambio
• Son una de las necesidades básicas del proyecto hardware abierto, ya que
se debe generar un estándar para intercambiar los diseños y para que estos
sean legibles por todos.
• Con respecto a los lenguajes HDL, no existe mayor problema ya que éstos
son realmente archivos de texto ASCII.
• Una de las primeras soluciones fue la de trabajar con imágenes JPEG y no
con los propios diseños.
• Otra de las soluciones aportadas se basa en la utilización de herramientas
CAD comunes y libres.
¡Diseña y libera el diseño!
19. ¿Qué es Hardware Abierto?
Proyectos
• Según su naturaleza :: Hardware estático.
• FPGALibre – S2proto.
• Arduino.
• Ledtoy.
• Iearobotics.
• Simputer.
• The Handy Board.
• Ronja.
• Ethernut.
• FreeIO.
• LART Linux Advanced Radio Terminal.
¡Diseña y libera el diseño!
20. ¿Qué es Hardware Abierto?
Proyectos :: Continuación
• Según su naturaleza :: Hardware estático :: Continuación
• The Balloon Project.
• OpenHardware.
• Itsy.
• TARJETA JPSXPC84: Entrenadora para FPGA.
• TARJETA CT6811.
• TARJETA CT293.
• Proyecto Hardware Abierto.
• Según su naturaleza :: Hardware reconfigurable
• LEON y LEON2 processor.
• Opencores.
¡Diseña y libera el diseño!
21. ¿Qué es Hardware Abierto?
Proyectos :: Continuación
• Según su naturaleza :: Hardware reconfigurable :: Continuación
• The FreeIP Project.
• Free Model Foundry (FMF).
• The Freedom CPU Project.
• Silicore Corporation.
• MPGA Meta Programmable Gate Array.
• FPGALibre.
¡Diseña y libera el diseño!
22. ¿Qué es Hardware Abierto?
Proyectos :: Continuación
• Según su arquitectura
• OpenCores.
• OpenRISC.
• Freedom CPU Project (FCPU).
• OpenSPARC.
• Procesador LEON.
• UltraSPARC T1
• Simply RISC
• OpenPPC.
• PegasosPPC.
¡Diseña y libera el diseño!
23. ¿Qué es Hardware Abierto?
Proyectos :: Continuación
• Según su arquitectura :: Continuación
• GNU Book.
• Open Graphics Project.
• Open Audio Hardware.
• El microbot Tritt
• OpenBook, entre otros más.
¡Diseña y libera el diseño!
48. ¿Qué es Hardware Abierto?
Comunidades de Hardware Abierto
• OpenHardware.net
• OpenCollector.org
• OpenCores site.
• Open Hardware Certification Program.
• Open Hardware designers.
• El grupo de las gEDA (GPL Electronic Design Automation)
• SiliconPenguin La Autoridad Embebida de Linux.
¡Diseña y libera el diseño!
49. ¿Qué es Hardware Abierto?
Ventajas del Hardware Abierto
• Protege y defiende la SOBERANÍA.
• Fomenta a que el hardware puede ser:
• De calidad, estándares abiertos y más económicos.
• La reutilización y la adaptación de diseños (cores).
• Ayudaría a las compañías a ahorrar costes, tiempos de diseño en sus trabajos
• Evita la alianza quot;Trusted Computingquot;.
• Tiene comunidades de diseño, desarrollo, pruebas y brindando soporte.
¡Diseña y libera el diseño!
50. ¿Qué es Hardware Abierto?
Desventajas del Hardware Abierto
• El mundo del quot;hardwarequot; está plagado de patentes.
• Modelo de producción, no cualquiera podrá realizar hardware.
• Modelo de intercambio, debido a la calidad del software libre disponible
actualmente para cubrir las necesidades del hardware abierto.
¡Diseña y libera el diseño!
51. ¿Qué es Hardware Abierto?
Situación actual en Venezuela
• Instituciones de Educación Superior :: Trabajo Especial de Grado.
• León Vilchez Adela Josefina, “Implementación de un Software para
Manipular Dispositivos de Robótica Utilizando MPLAB y el
Microcontrolador PIC16F84”. Colegio Universitario “Dr. Rafael Belloso
Chacín”. Escuela de Ingeniería. Carrera de Informática. Maracaibo,
2002.
¡Diseña y libera el diseño!
55. ¿Qué es Hardware Abierto?
Situación actual en Venezuela :: Continuación
• Estado Venezolano.
• Centro Nacional de Desarrollo e Investigación en Tecnologías Libres
• Instrumento de apoyo al impulso nacional de tecnologías de la información
y comunicación con estándares abiertos, promoviendo la investigación y
desarrollo de productos innovadores que conduzcan a la soberanía
tecnológica del país
• I + D en Software, Hardware y Telecomunicaciones.
• Área de hardware:
• Fábrica de Hardware Libre.
• Ingeniería de Diseño Conceptual de Arquitecturas.
• Circuitos impresos.
¡Diseña y libera el diseño!
56. ¿Qué es Hardware Abierto?
Situación actual en Venezuela :: Continuación
• Estado Venezolano.
• Centro Nacional de Desarrollo e Investigación en Tecnologías Libres
• I + D en Software, Hardware y Telecomunicaciones.
• Área de hardware:
• Microscopia.
• Diseño de Esquemáticos y Optimización Computarizada.
• Capacitación y formación de recurso humano (estudiantes, PyMES,
Cooperativas), a través de la Academia de Software Libre.
¡Diseña y libera el diseño!
57. ¿Qué es Hardware Abierto?
Sitios en Internet
Organizaciones Nacionales en Software Libre
• VELUG – Linux de Venezuela (http://www.velug.org.ve/)
• UNPLUG – Donde el novato se respeta. (http://www.unplug.org.ve/)
• GUGVE – Grupo de Usuarios GNU de Venezuela. (http://www.gnu.org/gugve/)
• SOLVE – Software Libre de Venezuela (http://www.solve.net.ve/)
• GULMER Grupo de Usuarios Linux de Mérida. (http://www.gulmer.org.ve/)
Organizaciones Gubernamentales Nacionales
• Ministerio de Ciencia y Tecnología (http://www.mct.gob.ve/)
• CENDITEL (http://www.cenditel.gob.ve/)
• CENIT (http://www.cenit.gob.ve/)
• Fundacite Mérida (http://www.fundacitemerida.gob.ve/)
¡Diseña y libera el diseño!
58. ¿Qué es Hardware Abierto?
Sitios en Internet :: Continuación
Organizaciones Internacionales
● OpenHardware.net (http://www.openhardware.net)
●
OpenCollector.org (http://www.opencollector.org/)
● OpenCores site. (http://www.opencores.org/)
●
El grupo de las gEDA (GPL Electronic Design Automation) (http://geda.seul.org/)
● SiliconPenguin La Autoridad Embebida de Linux (http://www.siliconpenguin.com/)
¡Diseña y libera el diseño!
59. ¿Qué es Hardware Abierto?
Sitios en Internet :: Continuación
Repositorios de Cores Libres y Open Source
• OpenCollector.org (http://www.opencollector.org/)
Proyectos de Cores Libres y Open Source
• Leon2 processor site. (http://www.estec.esa.nl/wsmwww/leon)
• FCPU manual. (http://fcpu.tux.org/)
• Un procesador Libre (http://www.techweb.com/wire/story/TWB2000228S0009)
• OpenPPC mother board site. (http://www.openppc.org/)
• OpenIPCore Project site. (http://www.openip.org/oc/)
¡Diseña y libera el diseño!
60. ¿Qué es Hardware Abierto?
Sitios en Internet :: Continuación
Proyectos de Cores Libres y Open Source
• Free IP site (http://www.freeip.com/)
• Open Hardware Certification Program. (http://www.openhardware.org/)
• GNUBook. (http://gnubook.org/)
• Open source Hardware project. (http://www.openhardware.de/)
• OpenSPARC. (http://www.opensparc.net/)
• Simply RISC. (http://www.srisc.com/)
• Open Graphics Project. (http://www.opengraphicsproject.org/)
¡Diseña y libera el diseño!
62. ¿Qué es Hardware Abierto?
¡Gracias por su atención!
¡Visita mi Blog!
http://lcaballero.8k.com/
¡Visita y descarga mis trabajos!
https://finde.gob.ve/projects/lcaballerodoc/
¡Escribeme!
lcaballero@linuxmail.org
leonardocaballero@gmail.com
¡Diseña y libera el diseño!