SlideShare une entreprise Scribd logo
1  sur  12
Magma/Global Foundries 28n Ref Flow Rod Metcalfe – June 2011
28n Reference Flow - Development Joint partnership between Magma and Global Foundries  Production flow specification Captured using Talus Flow Manager Validated by Global Foundries using tapeout sign off criteria Enables fast adoption of Global Foundries 28n process using Magma tools 2 Talus GF28SLP Placement &Optimization Routing  Rules CTS RC  Parasitics Routing Talus Flow Manager DRC  Runsets Extraction Timing Analysis Concurrent MMMC Timing Signoff Settings Leakage Multi-VT Extraction Signoff Settings GDSII
28n Reference Flow - Example 3 Reference flow developed and tested using a simple netlist to GDS example Complete scripts and flow provided to run example OR1200 30K std cells 8 macros
ARM Artisan® Physical IP for CP 28LP Process NEW NEW
Talus – Most Efficient RTL-to-GDSII System Best Quality of Results on Advanced designs +3M instances flat +1GHz Cores 28-nm silicon proven Advanced MX Technologies Fastest Timer Fastest Extractor Optimum Routing Flow Advanced Low Power Technologies UPF/CPF Support Advanced DVFS Predictable Design Closure with Fastest TAT Sign-off Capable Zero-ECO flow 5
Talus Flow Manager – Out-Of-The-Box Productivity  RTL or Netlist to GDSII Talus  Visual  Volcano Magma’s reference methodology for RTL-to-GDSII  simple and scalable framework for building execution recipes Included with each Magma release Supported by Magma R&D Increase Engineering Productivity Simplify Deployment Talus Flow Manager Run.tcl Infrastructure Flow Templates RTL MMMC MVDD Ref.Flows SMMC Netlist SVDD Volcano Flow Stage Templates 6
TFM : Reporting Examples
TFM : Reporting Examples
TFM : Detail Report Examples
28n Reference Flow – Guidance for implementation Reference flow provides guidance for all major parts of the flow How to configure library correctly When to add filler & decap cells Router setup to support 28n rules Multi-corner extraction support Multi-mode timing constraints Multi-VT library setup and optimization Correct GDS layer numbers for verification 10 Talus LibraryPreparation Placement &Optimization CTS Talus Flow Manager Routing Extraction Timing Analysis Concurrent MMMC Leakage Multi-VT GDSII
GLOBALFOUNDRIES-Magma 28nm Sign-Off Reference Flow Full Netlist-to-GDS Talus flow Automated for GF28SLP signoff using Talus Flow Manager Qualified for GF 28SLP routing rules and metal fill 11 ITLB TR RAM IC TAG0 Talus GF28SLP IC RAM0 DC RAM Placement &Optimization Routing  Rules Leverages state-of-the-art ARM Artisan® logic and embedded memory IP Example netlist to GDS 	MMMC  	Implementation 	for OR1200 DTLB TR RAM CTS DC TAG0 RC  Parasitics Routing Talus Flow Manager DRC  Runsets ITLB MR RAM Extraction DTLB  RAM Timing Analysis Concurrent MMMC Timing Signoff Settings Leakage Multi-VT Extraction Signoff Settings GDSII OR1200 CPU Core
Confidential - Do Not Duplicate 12

Contenu connexe

Tendances

Khi 142 revised dt report
Khi 142 revised dt reportKhi 142 revised dt report
Khi 142 revised dt reportShiraz316
 
Khi 061 revised dt report
Khi 061 revised dt reportKhi 061 revised dt report
Khi 061 revised dt reportShiraz316
 
Khi 078 revised dt report
Khi 078 revised dt reportKhi 078 revised dt report
Khi 078 revised dt reportShiraz316
 
Khi 087 revised dt report
Khi 087 revised dt reportKhi 087 revised dt report
Khi 087 revised dt reportShiraz316
 
Khi 117 revised dt report
Khi 117 revised dt reportKhi 117 revised dt report
Khi 117 revised dt reportShiraz316
 
Khi 164 revised dt report
Khi 164 revised dt reportKhi 164 revised dt report
Khi 164 revised dt reportShiraz316
 
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and Analytics
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and AnalyticsKranky Geek Sao Paulo 2016 - WebRTC Statistics and Analytics
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and Analyticscallstats.io
 
Khi 113 revised dt report
Khi 113 revised dt reportKhi 113 revised dt report
Khi 113 revised dt reportShiraz316
 
Khi 052 revised dt report
Khi 052 revised dt reportKhi 052 revised dt report
Khi 052 revised dt reportShiraz316
 
Khi 022 revised dt report
Khi 022 revised dt reportKhi 022 revised dt report
Khi 022 revised dt reportShiraz316
 
Khi 151 revised dt report
Khi 151 revised dt reportKhi 151 revised dt report
Khi 151 revised dt reportShiraz316
 
DNVGL_ReDAPT_Validation_Study
DNVGL_ReDAPT_Validation_StudyDNVGL_ReDAPT_Validation_Study
DNVGL_ReDAPT_Validation_StudySteven Parkinson
 
AndreaPetrucci_ACAT_2007
AndreaPetrucci_ACAT_2007AndreaPetrucci_ACAT_2007
AndreaPetrucci_ACAT_2007Andrea PETRUCCI
 
Khi 186 revised dt report
Khi 186 revised dt reportKhi 186 revised dt report
Khi 186 revised dt reportShiraz316
 
Jack_Knutson_SNUG2003_ Copy
Jack_Knutson_SNUG2003_ CopyJack_Knutson_SNUG2003_ Copy
Jack_Knutson_SNUG2003_ CopyJack Knutson
 
Maxwell® RSC
Maxwell® RSCMaxwell® RSC
Maxwell® RSCGKM
 

Tendances (17)

Khi 142 revised dt report
Khi 142 revised dt reportKhi 142 revised dt report
Khi 142 revised dt report
 
Khi 061 revised dt report
Khi 061 revised dt reportKhi 061 revised dt report
Khi 061 revised dt report
 
Khi 078 revised dt report
Khi 078 revised dt reportKhi 078 revised dt report
Khi 078 revised dt report
 
Khi 087 revised dt report
Khi 087 revised dt reportKhi 087 revised dt report
Khi 087 revised dt report
 
Khi 117 revised dt report
Khi 117 revised dt reportKhi 117 revised dt report
Khi 117 revised dt report
 
Khi 164 revised dt report
Khi 164 revised dt reportKhi 164 revised dt report
Khi 164 revised dt report
 
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and Analytics
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and AnalyticsKranky Geek Sao Paulo 2016 - WebRTC Statistics and Analytics
Kranky Geek Sao Paulo 2016 - WebRTC Statistics and Analytics
 
Khi 113 revised dt report
Khi 113 revised dt reportKhi 113 revised dt report
Khi 113 revised dt report
 
Khi 052 revised dt report
Khi 052 revised dt reportKhi 052 revised dt report
Khi 052 revised dt report
 
Khi 022 revised dt report
Khi 022 revised dt reportKhi 022 revised dt report
Khi 022 revised dt report
 
Khi 151 revised dt report
Khi 151 revised dt reportKhi 151 revised dt report
Khi 151 revised dt report
 
WIRA Q1 2017 Antares Update
WIRA Q1 2017 Antares UpdateWIRA Q1 2017 Antares Update
WIRA Q1 2017 Antares Update
 
DNVGL_ReDAPT_Validation_Study
DNVGL_ReDAPT_Validation_StudyDNVGL_ReDAPT_Validation_Study
DNVGL_ReDAPT_Validation_Study
 
AndreaPetrucci_ACAT_2007
AndreaPetrucci_ACAT_2007AndreaPetrucci_ACAT_2007
AndreaPetrucci_ACAT_2007
 
Khi 186 revised dt report
Khi 186 revised dt reportKhi 186 revised dt report
Khi 186 revised dt report
 
Jack_Knutson_SNUG2003_ Copy
Jack_Knutson_SNUG2003_ CopyJack_Knutson_SNUG2003_ Copy
Jack_Knutson_SNUG2003_ Copy
 
Maxwell® RSC
Maxwell® RSCMaxwell® RSC
Maxwell® RSC
 

En vedette

Globalfoundries, DAC 2011 Update
Globalfoundries, DAC 2011 UpdateGlobalfoundries, DAC 2011 Update
Globalfoundries, DAC 2011 UpdateMarketing EDA
 
Gelukisniettekoop
GelukisniettekoopGelukisniettekoop
Gelukisniettekooprikdries
 
Bladerend Boek
Bladerend BoekBladerend Boek
Bladerend Boekrikdries
 
презентация 2010 ниисф
презентация 2010 ниисфпрезентация 2010 ниисф
презентация 2010 ниисфkenhell
 
William Kent GLOBALFOUNDRIES 2016
William Kent GLOBALFOUNDRIES 2016William Kent GLOBALFOUNDRIES 2016
William Kent GLOBALFOUNDRIES 2016Randy Kent
 

En vedette (8)

Globalfoundries, DAC 2011 Update
Globalfoundries, DAC 2011 UpdateGlobalfoundries, DAC 2011 Update
Globalfoundries, DAC 2011 Update
 
Sea turtles
Sea turtles Sea turtles
Sea turtles
 
Gelukisniettekoop
GelukisniettekoopGelukisniettekoop
Gelukisniettekoop
 
Video games
Video gamesVideo games
Video games
 
Bladerend Boek
Bladerend BoekBladerend Boek
Bladerend Boek
 
S b-chemicals
S b-chemicalsS b-chemicals
S b-chemicals
 
презентация 2010 ниисф
презентация 2010 ниисфпрезентация 2010 ниисф
презентация 2010 ниисф
 
William Kent GLOBALFOUNDRIES 2016
William Kent GLOBALFOUNDRIES 2016William Kent GLOBALFOUNDRIES 2016
William Kent GLOBALFOUNDRIES 2016
 

Similaire à Magma reference flow for Globalfoundries 28nm

ZTE (3GPP Specification Evolution).ppt
ZTE (3GPP Specification Evolution).pptZTE (3GPP Specification Evolution).ppt
ZTE (3GPP Specification Evolution).pptabasabdallha
 
132kV PIPAR CITY GSS - RTU Accessories Pre-SAT Procedure (1).docx
132kV PIPAR CITY  GSS - RTU  Accessories Pre-SAT Procedure (1).docx132kV PIPAR CITY  GSS - RTU  Accessories Pre-SAT Procedure (1).docx
132kV PIPAR CITY GSS - RTU Accessories Pre-SAT Procedure (1).docxAniketSingh198707
 
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...Tal Lavian Ph.D.
 
Veryx Product Catalog - ATTEST
Veryx Product Catalog - ATTESTVeryx Product Catalog - ATTEST
Veryx Product Catalog - ATTESTVeryx Technologies
 
3 Gpp Beijing Workshop Courau Tsg Ran Chairman
3 Gpp Beijing Workshop Courau Tsg Ran Chairman3 Gpp Beijing Workshop Courau Tsg Ran Chairman
3 Gpp Beijing Workshop Courau Tsg Ran Chairmanzhb
 
weblogic perfomence tuning
weblogic perfomence tuningweblogic perfomence tuning
weblogic perfomence tuningprathap kumar
 
QoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyQoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyRealTime-at-Work (RTaW)
 
Intelligent Network Services through Active Flow Manipulation
Intelligent Network Services through Active Flow ManipulationIntelligent Network Services through Active Flow Manipulation
Intelligent Network Services through Active Flow ManipulationTal Lavian Ph.D.
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET Journal
 
NetSim Webinar on LTE Networks
NetSim Webinar on LTE NetworksNetSim Webinar on LTE Networks
NetSim Webinar on LTE NetworksKAVITHA IYER
 
FEWS Data Analysis with ARR2016
FEWS Data Analysis with ARR2016 FEWS Data Analysis with ARR2016
FEWS Data Analysis with ARR2016 Lindsay Millard
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 finalvrsim
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track fAlona Gradman
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensAlona Gradman
 

Similaire à Magma reference flow for Globalfoundries 28nm (20)

ZTE (3GPP Specification Evolution).ppt
ZTE (3GPP Specification Evolution).pptZTE (3GPP Specification Evolution).ppt
ZTE (3GPP Specification Evolution).ppt
 
132kV PIPAR CITY GSS - RTU Accessories Pre-SAT Procedure (1).docx
132kV PIPAR CITY  GSS - RTU  Accessories Pre-SAT Procedure (1).docx132kV PIPAR CITY  GSS - RTU  Accessories Pre-SAT Procedure (1).docx
132kV PIPAR CITY GSS - RTU Accessories Pre-SAT Procedure (1).docx
 
Magma trcak b
Magma  trcak bMagma  trcak b
Magma trcak b
 
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...
Enabling Active Flow Manipulation (AFM) in Silicon-based Network Forwarding E...
 
MWC 2010 LTE
MWC 2010 LTEMWC 2010 LTE
MWC 2010 LTE
 
Veryx Product Catalog - ATTEST
Veryx Product Catalog - ATTESTVeryx Product Catalog - ATTEST
Veryx Product Catalog - ATTEST
 
UDT
UDTUDT
UDT
 
3 Gpp Beijing Workshop Courau Tsg Ran Chairman
3 Gpp Beijing Workshop Courau Tsg Ran Chairman3 Gpp Beijing Workshop Courau Tsg Ran Chairman
3 Gpp Beijing Workshop Courau Tsg Ran Chairman
 
weblogic perfomence tuning
weblogic perfomence tuningweblogic perfomence tuning
weblogic perfomence tuning
 
QoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-StudyQoS-Predictable SOA on TSN: Insights from a Case-Study
QoS-Predictable SOA on TSN: Insights from a Case-Study
 
Intelligent Network Services through Active Flow Manipulation
Intelligent Network Services through Active Flow ManipulationIntelligent Network Services through Active Flow Manipulation
Intelligent Network Services through Active Flow Manipulation
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
 
UDT
UDTUDT
UDT
 
Recommend pract cng_
Recommend pract cng_Recommend pract cng_
Recommend pract cng_
 
EMEA Airheads - What does AirMatch do differently?v2
 EMEA Airheads - What does AirMatch do differently?v2 EMEA Airheads - What does AirMatch do differently?v2
EMEA Airheads - What does AirMatch do differently?v2
 
NetSim Webinar on LTE Networks
NetSim Webinar on LTE NetworksNetSim Webinar on LTE Networks
NetSim Webinar on LTE Networks
 
FEWS Data Analysis with ARR2016
FEWS Data Analysis with ARR2016 FEWS Data Analysis with ARR2016
FEWS Data Analysis with ARR2016
 
Asiasim2004 final
Asiasim2004 finalAsiasim2004 final
Asiasim2004 final
 
Target updated track f
Target updated   track fTarget updated   track f
Target updated track f
 
Chip Ex2010 Gert Goossens
Chip Ex2010 Gert GoossensChip Ex2010 Gert Goossens
Chip Ex2010 Gert Goossens
 

Dernier

New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfLoriGlavin3
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxLoriGlavin3
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersRaghuram Pandurangan
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxLoriGlavin3
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxLoriGlavin3
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESmohitsingh558521
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxLoriGlavin3
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 

Dernier (20)

New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Moving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdfMoving Beyond Passwords: FIDO Paris Seminar.pdf
Moving Beyond Passwords: FIDO Paris Seminar.pdf
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
Generative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information DevelopersGenerative AI for Technical Writer or Information Developers
Generative AI for Technical Writer or Information Developers
 
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptxA Deep Dive on Passkeys: FIDO Paris Seminar.pptx
A Deep Dive on Passkeys: FIDO Paris Seminar.pptx
 
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptxUse of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
Use of FIDO in the Payments and Identity Landscape: FIDO Paris Seminar.pptx
 
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICESSALESFORCE EDUCATION CLOUD | FEXLE SERVICES
SALESFORCE EDUCATION CLOUD | FEXLE SERVICES
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptxThe Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
The Role of FIDO in a Cyber Secure Netherlands: FIDO Paris Seminar.pptx
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 

Magma reference flow for Globalfoundries 28nm

  • 1. Magma/Global Foundries 28n Ref Flow Rod Metcalfe – June 2011
  • 2. 28n Reference Flow - Development Joint partnership between Magma and Global Foundries Production flow specification Captured using Talus Flow Manager Validated by Global Foundries using tapeout sign off criteria Enables fast adoption of Global Foundries 28n process using Magma tools 2 Talus GF28SLP Placement &Optimization Routing Rules CTS RC Parasitics Routing Talus Flow Manager DRC Runsets Extraction Timing Analysis Concurrent MMMC Timing Signoff Settings Leakage Multi-VT Extraction Signoff Settings GDSII
  • 3. 28n Reference Flow - Example 3 Reference flow developed and tested using a simple netlist to GDS example Complete scripts and flow provided to run example OR1200 30K std cells 8 macros
  • 4. ARM Artisan® Physical IP for CP 28LP Process NEW NEW
  • 5. Talus – Most Efficient RTL-to-GDSII System Best Quality of Results on Advanced designs +3M instances flat +1GHz Cores 28-nm silicon proven Advanced MX Technologies Fastest Timer Fastest Extractor Optimum Routing Flow Advanced Low Power Technologies UPF/CPF Support Advanced DVFS Predictable Design Closure with Fastest TAT Sign-off Capable Zero-ECO flow 5
  • 6. Talus Flow Manager – Out-Of-The-Box Productivity RTL or Netlist to GDSII Talus Visual Volcano Magma’s reference methodology for RTL-to-GDSII simple and scalable framework for building execution recipes Included with each Magma release Supported by Magma R&D Increase Engineering Productivity Simplify Deployment Talus Flow Manager Run.tcl Infrastructure Flow Templates RTL MMMC MVDD Ref.Flows SMMC Netlist SVDD Volcano Flow Stage Templates 6
  • 7. TFM : Reporting Examples
  • 8. TFM : Reporting Examples
  • 9. TFM : Detail Report Examples
  • 10. 28n Reference Flow – Guidance for implementation Reference flow provides guidance for all major parts of the flow How to configure library correctly When to add filler & decap cells Router setup to support 28n rules Multi-corner extraction support Multi-mode timing constraints Multi-VT library setup and optimization Correct GDS layer numbers for verification 10 Talus LibraryPreparation Placement &Optimization CTS Talus Flow Manager Routing Extraction Timing Analysis Concurrent MMMC Leakage Multi-VT GDSII
  • 11. GLOBALFOUNDRIES-Magma 28nm Sign-Off Reference Flow Full Netlist-to-GDS Talus flow Automated for GF28SLP signoff using Talus Flow Manager Qualified for GF 28SLP routing rules and metal fill 11 ITLB TR RAM IC TAG0 Talus GF28SLP IC RAM0 DC RAM Placement &Optimization Routing Rules Leverages state-of-the-art ARM Artisan® logic and embedded memory IP Example netlist to GDS MMMC Implementation for OR1200 DTLB TR RAM CTS DC TAG0 RC Parasitics Routing Talus Flow Manager DRC Runsets ITLB MR RAM Extraction DTLB RAM Timing Analysis Concurrent MMMC Timing Signoff Settings Leakage Multi-VT Extraction Signoff Settings GDSII OR1200 CPU Core
  • 12. Confidential - Do Not Duplicate 12