SlideShare a Scribd company logo
1 of 32
ETE444/544 :: Lecture 5Introduction to Micro Fabrication Chapter 5 Springer Handbook of Nanotechnology (Page 147-)
Introduction Recent innovations in the area of micro fabrication have created a unique opportunity for manufacturing structures in the nanometer–millimeter range. We can fabricate novel electronic, optical, magnetic, mechanical, and chemical/biological devices with applications ranging from sensors to computation and control.
NanoFabrication Basic Microfabrication Techniques Lithography Thin Film Deposition and Doping Etching and Substrate Removal Substrate Bonding
Fabrication Top-down: Chisel away material to make nanoscale objects Bottom-up: Assemble nanoscale objects out of even smaller units (e.g., atoms and molecules) Ultimate Goal: Dial in the properties that you want by designing and building at the scale of nature (i.e., the nanoscale)
Top-Down: Photolithography Mark C. Hersam: Northwestern University
Top-Down: Nanoimprint Lithography Mark C. Hersam: Northwestern University
Top-Down: Nanosphere Lithography Mark C. Hersam: Northwestern University
Bottom-Up: Carbon Nanotube Synthesis
Bottom-Up: Molecular Self-Assembly Spontaneous organization of molecules into stable, structurally well-defined aggregates (nanometer length scale). Molecules can be transported to surfaces through liquids to form self-assembled monolayers (SAMs).
 Merging of two approaches: Top-down and bottom-up machining methodologies Most human manufacturing methods of small devices involve top-down approaches. Starting from larger blocks of material we make smaller and smaller things. Nature works the other way, i.e., from the bottom-up.  All living things are made atom by atom , molecule by molecule; from the small to the large. As manufacturing of very small things with top-down techniques  (NEMS or nano mechanical devices) become too expensive or hit other barriers we are looking at nature for guidance (biomimetics). Further miniaturization might be inspired by biology but will most likely be different again from nature  -- the drivers for  human and natural manufacturing techniques are very different.
 Merging of two approaches: Top-down and bottom-up machining methodologies --NEMS ,[object Object]
self-assembly and directed assembly (e.,g, using electrical fields -see next viewgraph)
massive parallelism
understanding of molecular mechanisms--chemomechanics
engineers/scientists who understand ‘wet’ and ‘dry’ disciplines
Example nano chemistry approaches:
Natural polymers: e.g., NAs and proteins not only as sensors but also as actuators and building blocks (Genetic engineer NA’s and proteins-rely on extremophiles for guidance)
Mechanosynthesis
NEMS/biology hybrids --to learn onlySeeman Eigler Daunert - Madou Montemagno
Lithography Lithography is the technique used to transfer a computer generated pattern onto a substrate (silicon, glass, GaAs, etc.). This pattern is subsequently used to etch an underlying thin film (oxide, nitride, etc.) for various purposes (doping, etching, etc.). Although photolithography, i. e., the lithography using a UV light source, is by far the most widely used lithography technique in microelectronic fabrication, electron-beam (e-beam) and X-ray lithography are two other alternatives that have attracted considerable attention in theMEMSand nanofabrication areas.
exposure systems Depending on the separation between the mask and the wafer, three different exposure systems are available: Contact : gives better resolution than proximity. Proximity Projection uses a dual lens optical system to project the mask image onto the wafer.  Widely used system in microfabrication and can yield superior resolutions compared to contact and proximity methods
Thin Film Deposition and Doping Unexposed area / blocked area Exposed area
Step coverage and conformality
Oxidation Oxidation of silicon is a process used to obtain a thin film of SiO2 of excellent quality (very low density of defects) and thickness homogeneity.
The oxidation of silicon also occurs at room temperature, however, a layer of about 20Å (native oxide) is enough to passivate the surface and prevent further oxidation.
Doping The introduction of certain impurities in a semiconductor can change its electrical, chemical, and even mechanical properties.  Typical impurities, or dopants, used in silicon include boron (to form p-type regions) and phosphorous or arsenic (to form n-type regions).  Doping is the main process used in the microelectronic industry to fabricate major components such as diodes and transistors.
Chemical Vapor Deposition and Epitaxy Reaction of chemicals in a gas phase to form the deposited thin film LPCVD (lowpressureCVD)  PECVD (plasma enhanced CVD).
LPCVD LPCVD process is typically carried out in electrically heated tubes, similar to the oxidation tubes, equipped with pumping capabilities to achieve the needed low pressures (0.1 to 1.0 torr). A large number of wafers can be processed simultaneously, and the material is deposited in both sides of the wafers.  The process temperatures depend on the material to be deposited, but generally are in the range of 550 to 900 ◦C.  As in the oxidation, high temperatures and contamination issues can restrict the type of processes used prior to the LPCVD. Typical materials deposited by LPCVD include silicon oxide (e.g., SiCl2H2 + 2N2O⇒SiO2+2N2+2HCl at 900 ◦C)
PECVD The PECVD process is performed in plasma systems such as the one represented in Fig. The use of RF energy to create highly reactive species in the plasma allows for the use of lower temperatures at the substrates (150 to 350 ◦C). Parallel-plate plasma reactors normally used in microfabrication can only process a limited number of wafers per batch.  The wafers are positioned horizontally on top of the lower electrode, so only one side gets deposited. Typical materials deposited with PECVD include silicon oxide, nitride, and amorphous silicon. Conformality is good for low-aspect-ratio structures, but becomes very poor for deep trenches (20% of the surface thickness inside through-wafer holes with aspect ratio of ten).
Epitaxial growth In this process, a single crystalline material is grown as an extension of the crystal structure of the substrate. It is possible to grow dissimilar materials if the crystal structures are somehow similar (lattice-matched). Silicon-on-sapphire (SOS) substrates and some heterostructures are fabricated in this way.

More Related Content

What's hot

ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Mems (Detail Presentation)
Mems (Detail Presentation)Mems (Detail Presentation)
Mems (Detail Presentation)Vinayak Hegde
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Milan Van Bree
 
Focused ion beam lithography
Focused ion beam lithographyFocused ion beam lithography
Focused ion beam lithographyGVijayalakshmiG
 
Introduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyIntroduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyOleg Maksimov
 
Nanofabrication Technologies
Nanofabrication TechnologiesNanofabrication Technologies
Nanofabrication Technologiestabirsir
 
Microfabrication
MicrofabricationMicrofabrication
Microfabricationabhithapv
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,typesANJANI S
 
Mems unit 1 ppt
Mems unit 1 pptMems unit 1 ppt
Mems unit 1 pptNithyaS71
 
Epitaxy, Epitaxial Growth--ABU SYED KUET
Epitaxy, Epitaxial Growth--ABU SYED KUETEpitaxy, Epitaxial Growth--ABU SYED KUET
Epitaxy, Epitaxial Growth--ABU SYED KUETA. S. M. Jannatul Islam
 
MEMS an overview and application
MEMS an overview and applicationMEMS an overview and application
MEMS an overview and applicationminajoddin
 
Micro-electro-mechanical Systems
Micro-electro-mechanical Systems Micro-electro-mechanical Systems
Micro-electro-mechanical Systems utpal sarkar
 

What's hot (20)

Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Sputtering process
Sputtering processSputtering process
Sputtering process
 
Lecture 02 history & characteristics of mems
Lecture 02   history & characteristics of memsLecture 02   history & characteristics of mems
Lecture 02 history & characteristics of mems
 
Mems (Detail Presentation)
Mems (Detail Presentation)Mems (Detail Presentation)
Mems (Detail Presentation)
 
X rays lithography
X rays lithographyX rays lithography
X rays lithography
 
MEMS Packaging
MEMS PackagingMEMS Packaging
MEMS Packaging
 
Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]Thin_Film_Technology_introduction[1]
Thin_Film_Technology_introduction[1]
 
Focused ion beam lithography
Focused ion beam lithographyFocused ion beam lithography
Focused ion beam lithography
 
Molecular beam epitaxy
Molecular beam epitaxyMolecular beam epitaxy
Molecular beam epitaxy
 
Introduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxyIntroduction to thin film growth and molecular beam epitaxy
Introduction to thin film growth and molecular beam epitaxy
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
 
Nanofabrication Technologies
Nanofabrication TechnologiesNanofabrication Technologies
Nanofabrication Technologies
 
Severe Plastic Deformation
Severe Plastic Deformation Severe Plastic Deformation
Severe Plastic Deformation
 
Microfabrication
MicrofabricationMicrofabrication
Microfabrication
 
Lithography techniques,types
Lithography techniques,typesLithography techniques,types
Lithography techniques,types
 
Mems unit 1 ppt
Mems unit 1 pptMems unit 1 ppt
Mems unit 1 ppt
 
Epitaxy, Epitaxial Growth--ABU SYED KUET
Epitaxy, Epitaxial Growth--ABU SYED KUETEpitaxy, Epitaxial Growth--ABU SYED KUET
Epitaxy, Epitaxial Growth--ABU SYED KUET
 
MEMS an overview and application
MEMS an overview and applicationMEMS an overview and application
MEMS an overview and application
 
Micro-electro-mechanical Systems
Micro-electro-mechanical Systems Micro-electro-mechanical Systems
Micro-electro-mechanical Systems
 

Similar to ETE444-lec5-micro-fabrication.pptx

ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfmashiur
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfmashiur
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.Toru Hara
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lectureToru Hara
 
Manufacturing of Electronics
Manufacturing of ElectronicsManufacturing of Electronics
Manufacturing of ElectronicsA. John Hart
 
Nano technology by smitkapdiya
Nano technology by smitkapdiyaNano technology by smitkapdiya
Nano technology by smitkapdiyaSmit Kapadiya
 
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleFabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleIJRES Journal
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Thin-Film Photovoltaics R&D: Innovation, Opportunities_Ennaoui
Thin-Film Photovoltaics R&D: Innovation, Opportunities_EnnaouiThin-Film Photovoltaics R&D: Innovation, Opportunities_Ennaoui
Thin-Film Photovoltaics R&D: Innovation, Opportunities_EnnaouiProf. Dr. Ahmed Ennaoui
 
Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Boris Kobrin
 
why and how thin films
why and how thin filmswhy and how thin films
why and how thin filmssumit__kumar
 

Similar to ETE444-lec5-micro-fabrication.pptx (20)

ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdf
 
ETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdfETE444-lec5-micro-fabrication.pdf
ETE444-lec5-micro-fabrication.pdf
 
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
MSE PhD lecture. Adv. Mater. Synthesis. Thin Films. Oct 23, 2014.
 
Mse phd lecture
Mse phd lectureMse phd lecture
Mse phd lecture
 
Manufacturing of Electronics
Manufacturing of ElectronicsManufacturing of Electronics
Manufacturing of Electronics
 
Nano technology by smitkapdiya
Nano technology by smitkapdiyaNano technology by smitkapdiya
Nano technology by smitkapdiya
 
Nano fabrication for beginner
Nano fabrication for beginner Nano fabrication for beginner
Nano fabrication for beginner
 
Mems manufacturing
Mems manufacturingMems manufacturing
Mems manufacturing
 
Pvc cmos finale
Pvc cmos finale Pvc cmos finale
Pvc cmos finale
 
Lecture 08
Lecture 08Lecture 08
Lecture 08
 
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) ModuleFabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
FabricationofThin FilmUsing Modified Physical Vapor Deposition (PVD) Module
 
Applications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ckApplications of nanomaterials by dr.ck
Applications of nanomaterials by dr.ck
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Nano materials
Nano materialsNano materials
Nano materials
 
Thin-Film Photovoltaics R&D: Innovation, Opportunities_Ennaoui
Thin-Film Photovoltaics R&D: Innovation, Opportunities_EnnaouiThin-Film Photovoltaics R&D: Innovation, Opportunities_Ennaoui
Thin-Film Photovoltaics R&D: Innovation, Opportunities_Ennaoui
 
Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007Surface_engineering_oppotunities2007
Surface_engineering_oppotunities2007
 
why and how thin films
why and how thin filmswhy and how thin films
why and how thin films
 
VLSI-Design.pdf
VLSI-Design.pdfVLSI-Design.pdf
VLSI-Design.pdf
 
Fabrication process flow
Fabrication process flowFabrication process flow
Fabrication process flow
 
PPT 2.ppt
PPT 2.pptPPT 2.ppt
PPT 2.ppt
 

More from mashiur

Touseef Kamal062159056
Touseef Kamal062159056Touseef Kamal062159056
Touseef Kamal062159056mashiur
 
Towfique 063382056
Towfique 063382056Towfique 063382056
Towfique 063382056mashiur
 
Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)mashiur
 
Sk M Rezaul Karim 072899056
Sk M Rezaul Karim  072899056Sk M Rezaul Karim  072899056
Sk M Rezaul Karim 072899056mashiur
 
Syeda Farhana Shirin Id#062681056
Syeda Farhana Shirin  Id#062681056Syeda Farhana Shirin  Id#062681056
Syeda Farhana Shirin Id#062681056mashiur
 
Shihab Uddin 062483056
Shihab Uddin  062483056Shihab Uddin  062483056
Shihab Uddin 062483056mashiur
 
Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)mashiur
 
Shahriar Khaled 062473056
Shahriar Khaled 062473056Shahriar Khaled 062473056
Shahriar Khaled 062473056mashiur
 
Shahnshah Sarker 072802556
Shahnshah Sarker  072802556Shahnshah Sarker  072802556
Shahnshah Sarker 072802556mashiur
 
Shah M Saklaen 072809056
Shah M Saklaen 072809056Shah M Saklaen 072809056
Shah M Saklaen 072809056mashiur
 
Sayef Almaji (063170056)
Sayef Almaji (063170056)Sayef Almaji (063170056)
Sayef Almaji (063170056)mashiur
 
Shah Md Zobair(063560056)
Shah Md Zobair(063560056)Shah Md Zobair(063560056)
Shah Md Zobair(063560056)mashiur
 
Shahed.Anwar 061708556
Shahed.Anwar 061708556Shahed.Anwar 061708556
Shahed.Anwar 061708556mashiur
 
Sajjad Hossain 071297056
Sajjad Hossain  071297056Sajjad Hossain  071297056
Sajjad Hossain 071297056mashiur
 
S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)mashiur
 
Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)mashiur
 
Rumana Akther Id#072842056
Rumana Akther Id#072842056Rumana Akther Id#072842056
Rumana Akther Id#072842056mashiur
 
Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)mashiur
 
Riaz Rahman (072878056)
Riaz Rahman (072878056)Riaz Rahman (072878056)
Riaz Rahman (072878056)mashiur
 
Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)mashiur
 

More from mashiur (20)

Touseef Kamal062159056
Touseef Kamal062159056Touseef Kamal062159056
Touseef Kamal062159056
 
Towfique 063382056
Towfique 063382056Towfique 063382056
Towfique 063382056
 
Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)Tasmiah Binte Zilani (071649556)
Tasmiah Binte Zilani (071649556)
 
Sk M Rezaul Karim 072899056
Sk M Rezaul Karim  072899056Sk M Rezaul Karim  072899056
Sk M Rezaul Karim 072899056
 
Syeda Farhana Shirin Id#062681056
Syeda Farhana Shirin  Id#062681056Syeda Farhana Shirin  Id#062681056
Syeda Farhana Shirin Id#062681056
 
Shihab Uddin 062483056
Shihab Uddin  062483056Shihab Uddin  062483056
Shihab Uddin 062483056
 
Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)Shakhawat Hossain (062364056)
Shakhawat Hossain (062364056)
 
Shahriar Khaled 062473056
Shahriar Khaled 062473056Shahriar Khaled 062473056
Shahriar Khaled 062473056
 
Shahnshah Sarker 072802556
Shahnshah Sarker  072802556Shahnshah Sarker  072802556
Shahnshah Sarker 072802556
 
Shah M Saklaen 072809056
Shah M Saklaen 072809056Shah M Saklaen 072809056
Shah M Saklaen 072809056
 
Sayef Almaji (063170056)
Sayef Almaji (063170056)Sayef Almaji (063170056)
Sayef Almaji (063170056)
 
Shah Md Zobair(063560056)
Shah Md Zobair(063560056)Shah Md Zobair(063560056)
Shah Md Zobair(063560056)
 
Shahed.Anwar 061708556
Shahed.Anwar 061708556Shahed.Anwar 061708556
Shahed.Anwar 061708556
 
Sajjad Hossain 071297056
Sajjad Hossain  071297056Sajjad Hossain  071297056
Sajjad Hossain 071297056
 
S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)S K Ashikur Rahman (072874556)
S K Ashikur Rahman (072874556)
 
Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)Rumana Rashid Riti (Id 053 507 056)
Rumana Rashid Riti (Id 053 507 056)
 
Rumana Akther Id#072842056
Rumana Akther Id#072842056Rumana Akther Id#072842056
Rumana Akther Id#072842056
 
Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)Ridhwana Mohammad (071403056)
Ridhwana Mohammad (071403056)
 
Riaz Rahman (072878056)
Riaz Rahman (072878056)Riaz Rahman (072878056)
Riaz Rahman (072878056)
 
Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)Rubaiyet Rashid Romel (063454056)
Rubaiyet Rashid Romel (063454056)
 

ETE444-lec5-micro-fabrication.pptx

  • 1. ETE444/544 :: Lecture 5Introduction to Micro Fabrication Chapter 5 Springer Handbook of Nanotechnology (Page 147-)
  • 2. Introduction Recent innovations in the area of micro fabrication have created a unique opportunity for manufacturing structures in the nanometer–millimeter range. We can fabricate novel electronic, optical, magnetic, mechanical, and chemical/biological devices with applications ranging from sensors to computation and control.
  • 3. NanoFabrication Basic Microfabrication Techniques Lithography Thin Film Deposition and Doping Etching and Substrate Removal Substrate Bonding
  • 4. Fabrication Top-down: Chisel away material to make nanoscale objects Bottom-up: Assemble nanoscale objects out of even smaller units (e.g., atoms and molecules) Ultimate Goal: Dial in the properties that you want by designing and building at the scale of nature (i.e., the nanoscale)
  • 5. Top-Down: Photolithography Mark C. Hersam: Northwestern University
  • 6. Top-Down: Nanoimprint Lithography Mark C. Hersam: Northwestern University
  • 7. Top-Down: Nanosphere Lithography Mark C. Hersam: Northwestern University
  • 9. Bottom-Up: Molecular Self-Assembly Spontaneous organization of molecules into stable, structurally well-defined aggregates (nanometer length scale). Molecules can be transported to surfaces through liquids to form self-assembled monolayers (SAMs).
  • 10. Merging of two approaches: Top-down and bottom-up machining methodologies Most human manufacturing methods of small devices involve top-down approaches. Starting from larger blocks of material we make smaller and smaller things. Nature works the other way, i.e., from the bottom-up. All living things are made atom by atom , molecule by molecule; from the small to the large. As manufacturing of very small things with top-down techniques (NEMS or nano mechanical devices) become too expensive or hit other barriers we are looking at nature for guidance (biomimetics). Further miniaturization might be inspired by biology but will most likely be different again from nature -- the drivers for human and natural manufacturing techniques are very different.
  • 11.
  • 12. self-assembly and directed assembly (e.,g, using electrical fields -see next viewgraph)
  • 14. understanding of molecular mechanisms--chemomechanics
  • 15. engineers/scientists who understand ‘wet’ and ‘dry’ disciplines
  • 16. Example nano chemistry approaches:
  • 17. Natural polymers: e.g., NAs and proteins not only as sensors but also as actuators and building blocks (Genetic engineer NA’s and proteins-rely on extremophiles for guidance)
  • 19. NEMS/biology hybrids --to learn onlySeeman Eigler Daunert - Madou Montemagno
  • 20. Lithography Lithography is the technique used to transfer a computer generated pattern onto a substrate (silicon, glass, GaAs, etc.). This pattern is subsequently used to etch an underlying thin film (oxide, nitride, etc.) for various purposes (doping, etching, etc.). Although photolithography, i. e., the lithography using a UV light source, is by far the most widely used lithography technique in microelectronic fabrication, electron-beam (e-beam) and X-ray lithography are two other alternatives that have attracted considerable attention in theMEMSand nanofabrication areas.
  • 21. exposure systems Depending on the separation between the mask and the wafer, three different exposure systems are available: Contact : gives better resolution than proximity. Proximity Projection uses a dual lens optical system to project the mask image onto the wafer. Widely used system in microfabrication and can yield superior resolutions compared to contact and proximity methods
  • 22. Thin Film Deposition and Doping Unexposed area / blocked area Exposed area
  • 23. Step coverage and conformality
  • 24. Oxidation Oxidation of silicon is a process used to obtain a thin film of SiO2 of excellent quality (very low density of defects) and thickness homogeneity.
  • 25. The oxidation of silicon also occurs at room temperature, however, a layer of about 20Å (native oxide) is enough to passivate the surface and prevent further oxidation.
  • 26. Doping The introduction of certain impurities in a semiconductor can change its electrical, chemical, and even mechanical properties. Typical impurities, or dopants, used in silicon include boron (to form p-type regions) and phosphorous or arsenic (to form n-type regions). Doping is the main process used in the microelectronic industry to fabricate major components such as diodes and transistors.
  • 27.
  • 28. Chemical Vapor Deposition and Epitaxy Reaction of chemicals in a gas phase to form the deposited thin film LPCVD (lowpressureCVD) PECVD (plasma enhanced CVD).
  • 29. LPCVD LPCVD process is typically carried out in electrically heated tubes, similar to the oxidation tubes, equipped with pumping capabilities to achieve the needed low pressures (0.1 to 1.0 torr). A large number of wafers can be processed simultaneously, and the material is deposited in both sides of the wafers. The process temperatures depend on the material to be deposited, but generally are in the range of 550 to 900 ◦C. As in the oxidation, high temperatures and contamination issues can restrict the type of processes used prior to the LPCVD. Typical materials deposited by LPCVD include silicon oxide (e.g., SiCl2H2 + 2N2O⇒SiO2+2N2+2HCl at 900 ◦C)
  • 30. PECVD The PECVD process is performed in plasma systems such as the one represented in Fig. The use of RF energy to create highly reactive species in the plasma allows for the use of lower temperatures at the substrates (150 to 350 ◦C). Parallel-plate plasma reactors normally used in microfabrication can only process a limited number of wafers per batch. The wafers are positioned horizontally on top of the lower electrode, so only one side gets deposited. Typical materials deposited with PECVD include silicon oxide, nitride, and amorphous silicon. Conformality is good for low-aspect-ratio structures, but becomes very poor for deep trenches (20% of the surface thickness inside through-wafer holes with aspect ratio of ten).
  • 31.
  • 32. Epitaxial growth In this process, a single crystalline material is grown as an extension of the crystal structure of the substrate. It is possible to grow dissimilar materials if the crystal structures are somehow similar (lattice-matched). Silicon-on-sapphire (SOS) substrates and some heterostructures are fabricated in this way.
  • 33. Physical Vapor Deposition In physical deposition systems the material to be deposited is transported from a source to the wafers, both being in the same chamber. Two physical principles are used to do so: Evaporation sputtering
  • 34.
  • 35. Sputtering In sputtering, a target of the material to be deposited is bombarded with high-energy inert ions (usually argon). The outcome of the bombardment is that individual atoms or clusters are removed from the surface and ejected toward the wafer. The physical nature of this process allows its use with virtually any existing material: metals, dielectrics, alloys, and all kinds of compounds (for example, piezoelectric PZT). The inert ions bombarding the target are produced in DC or RF plasma.
  • 36. Etching and Substrate Removal very often the substrate (silicon, glass, GaAs, etc.) also needs to be removed in order to create various mechanical micro-/nanostructures (beams, plates, etc.). Two important figures of merit for any etching process are selectivity and directionality. Wet Etching Dry Etching
  • 37. Wet Etching due to the lateral undercut, the minimum feature achievable with wet etchants is limited to > 3μm. Silicon dioxide is commonly etched in a dilute (6:1, 10:1, or 20:1 by volume) or buffered HF (BHF, HF+NH4F) solutions (etch rate of ∼ 1,000Å/min in BHF). Photoresist and silicon nitride are the two most common masking materials for the wet oxide etch.
  • 38.
  • 39. Isotropic etching Isotropic etching of silicon using HF/HNO3/CH3COOH. Silicon anisotropic wet etch constitutes an important technique in bulk micromachining. The three most important silicon etchants in this category are potassium hydroxide (KOH), ethylene diamine pyrochatechol (EDP), and tetramethyl ammonium hydroxide (TMAH).
  • 40. Dry Etching Most dry etching techniques are plasma-based. They have several advantages compared with wet etching. These include smaller undercut (allowing smaller lines to be patterned) and higher anisotropicity (allowing high-aspect-ratio vertical structures). The three basic dry etching techniques: high-pressure plasma etching Reactive ion etching (RIE) ion milling