SlideShare a Scribd company logo
1 of 27
Download to read offline
Faster, Safer Implementation of
           High-Reliability, High-Availability
                Designs using FPGAs

                                Angela Sutton
                      Staff Product Marketing Manager
                                  Synopsys
                                  July 2011




© Synopsys 2011   1
Top Concerns for High-Rel Applications

 • Safety-critical design
        – SEU mitigation
        – Safe FSM                                                    Consumer


 •    Requirements tracking                                           Industrial

                                                                      Wireless
 •    Power reduction             Medical/                            Computing/Storage

 •    Verification & debug    Instrumentation
                                                                      Military & Aerospace

 •    Ease of use              Wired Comms                            Wired Comms.

                                                                      Medical/Instruments
                                        Mil/Aero
                                                                      Automotive

                                                                      Broadcast

                                                                      Other



                                     Source: Channel Media & Market Research, August 2010

© Synopsys 2011    2
Agenda
   Design Reliability involves many things including
                  Complete and Accurate Design Specification
                  Constraints and syntax checking
                  Design specification checking


                  Built in Safety
                  Triple Modular Redundancy (TMR)
                  Safe Finite State Machines (Safe FSMs)
                  Power Reduction

                  Evaluate / Debug Correct Chip Operation
                  Implement and preserve debug logic during synthesis
                  Debug chip at the RTL Level in Hardware
                  Debug and Develop Proof of Concept using Prototyping Hardware


                  Reproducible, Documented Design Process
                  Documentation, Archiving and Restoration
                  DO-254 and Process Compliance


                  Verification and Equivalence Checks
                  Disabling optimizations that obstruct requirements tracing
                  RTL debug in operating hardware


© Synopsys 2011    3
Complete and Accurate Design Specification
 Clock Domain Synchronization Assurance

Find combinatorial paths that cross clock domains without
                     synchronization



                   Clock1                       Clock2

                                                          State
                              State                      element
                             element    Logic
                  Path

                     Clock1 and Clock2 controlled by clocks in different
                        clock domains i.e. in different clock groups


© Synopsys 2011          4
Complete and Accurate Design
 Specification
Check constraints syntax, including TCL/Find constraints




                      Synplify constraints checker


© Synopsys 2011   5
Built-in Safety
 SEU Mitigation with Triple Modular Redundancy

 • TMR helps mitigate SEUs
   induced by radiation effects
 • Insert redundancy during         IMPL1
   synthesis with triplicated
   circuitry + voting logic                 Voting
                                    IMPL2            Output
 • Configure the type of TMR to             Logic
   be used (register, block etc.)
 • And/or create custom TMR         IMPL3
   architectures and invoke
   settings that ensure
   redundant circuitry is not
   optimized away


© Synopsys 2011   6
Built-in Safety
 Automatic Local TMR – an example



SDC
define_global_attribute       {syn_radhardlevel} {tmr}


RTL (Verilog)
/*synthesis syn_radhardlevel="tmr"*/


RTL (VHDL)
attribute syn_radhardlevel of behave :
architecture is "tmr";
                                                                       Majority
                                                                       voting logic
                                                         Triplicated
                                                         Register


© Synopsys 2011           7
Built-in Safety
 Implementing Safe FSMs


         syn_state_machine                                  Hamming-3
                  syn_encoding                        TMR / syn_radhardlevel




                       Output     Output
                      Function   Register            Output
                       Logic

                                                                   syn_keep,
                                  State        Error             syn_preserve,
                       Next      Register    Detection
 Input                                                             syn_hier,
                       State                                      syn_noprune
                       Logic
                                    Reset / Error Mitigation
                                                                    syn_probe

                                  Deadlock/Time-out Counter



© Synopsys 2011         8
Power Reduction

 • Automatic generation of switching activity
        –   Replaces simulator-generated VCD or SAIF
        –   No testbench/vectors or simulation required      Synplify Premier
        –   Produces defacto standard SAIF format
        –   Created during logic synthesis                      Logic Synthesis

 • Use for early (pre P&R) power estimates
                                                             Generate Switching
        – Xilinx XPower tool
                                                               Activity Data
        – Other SAIF-based analysis tools

 • Use for power optimizations                            Activity Data    Netlist +
        – SAIF drives power optimization in P&R              (SAIF)       Constraints


 • Less area also results in lower power
                                                              ISE P&R with power
                                                                  optimization



© Synopsys 2011       9
Chip Debug
Why Functional Correctness is Easier to Assess from the RTL

                        RTL DEBUG
D[7:0]                                                            Gate level debuggers
 SEL                                                               have limited design
C[7:0]         0                             0
                                                  Z[7:0]
                                                                  visibility, making bugs
B[7:0]         1                    +        1
                                                                      harder to find.
A[7:0]


                   • Enumerated TYPES in your RTL appear as 1’s and
                     0’s in the netlist
   Synthesis       • Inferred RAM, DSPs and other synthesis
                     optimizations cause debug nodes of interest to be
                     absorbed or transformed - they can no longer be
                     probed                                          Netlist
                                                                           now includes
                   GATE LEVEL DEBUG                               names and structures
  SEL                                                             that differ greatly from
D[7:0]                          0
A[7:0]                                  Z[7:0]                      the functional RTL
C[7:0]              +           1
B[7:0]                                                                  description
                          output no longer gated by SEL signal
© Synopsys 2011           10
Chip Debug
 Debug and Validate Chip on the Board at the RTL level
 Using Identify® RTL Debugger


   Identify Instrumentor   Identify Debugger
                                                     IICE Controller
                                                              1             5
                                                                  a1   b1
                                                              2                                    1             5
                                                                  a2             Multiplexer           a1   b1
                                                              3                                    2
                                                                  a3                                   a2
                                                                                S1             D   3
                                                                                                       a3


                                                                                S2
                                                              1             5
                                                                  a1   b1
                                                              2
                                                                  a2                 C   ENB
                                                              3
                                                                  a3




                                                     IICE




                                                      Special IICE Controller
                           Set trigger conditions,   inserted in design during
     Create debug logic     capture and display              synthesis
                               operating data




© Synopsys 2011      11
Chip Debug
 Validate FPGA on the Board at the RTL level
• RTL Instrumentation                    Identify
     – Complex triggering (e.g. FSM)
     – Control of data sampled
        – Highly customizable
          sampling


• RTL debugging
     – FPGA data buffered on chip
     – Support multiple clocks and
       cross-triggering between clocks           Data “sample” values from
     – VCD created that can be                 FPGA annotated on top of RTL
       displayed superimposed on                   or in waveform viewer
       Synplify RTL schematic or in
       waveform viewer
© Synopsys 2011   12
Chip Debug During Synthesis
 HTML-based Synthesis Reporting




© Synopsys 2011   13
Chip Debug during Synthesis
 Error and Warning Messaging in HTML Message Viewer




                   View warning messages in Synplify TCL window




            Apply warning filter to custom filter messages for easier analysis


© Synopsys 2011       14
Chip Debug and Early Proof of Concept
 Using HAPS-6X Series Prototyping Hardware
                                 HDL Files                                                         HDL Files
                     (VHDL, Verilog, SystemVerilog, EDIF)                             (VHDL, Verilog, SystemVerilog, EDIF)




       Synplify Premier                   Certify / Identify                              HAPS-600/CHIPit Manager




                                                               HAPS-64                HAPS-606, 609 ,612, 615, 618
                                                        up to 18 M ASIC Gates         scalable from 27.5 M up to 81 M ASIC Gates



         HAPS-61                   HAPS-62
     up to 4.5 M ASIC Gates    up to 9 M ASIC Gates




             Synplify Premier
                                                                                HAPS-600/CHIPit Manager + Synplify
               – For single FPGA solution
                                                                                Premier
             Certify / Identify
                                                                                 – High level of automation
               – For multi-FPGA solution & debug

© Synopsys 2011                   15
Chip Debug and Early Proof of Concept
 Using HAPS-60

                    PCB Technology                                                    Faster Silicon
                    • Length-matched traces                                           • V6 technology
                    • High signal-integrity board                                     • 15% faster
                    • Unique high-end
                        PCB material for highest
                        possible performance


                    High Speed Connector                                              Advanced Power
                    •   Low profile, PCB-based                                        Management
                    •   Low noise                                                     • Efficient power distribution
                    •   Better signal integrity                                       • Precision voltage stability
                    •   30% faster



                                               High-Speed Time Division Multiplexing
                                                             (HSTDM)
                                               •   1 Gbit/sec data rate
                                               •   Automated implementation
                                               •   Increases interconnect bandwidth
                  Time Division Multiplexing   •   25% faster



© Synopsys 2011    16
Reproducible, Documented Design Process
 Document and Trace Design Requirements


 • RTL, gate and physical schematics
        – Cross-probe between RTL, Netlist,
          Vendor and Synplify timing reports
          and schematics
 • Timing reports specifying
        – Start point(s)
        – End point(s)
        – Start and end points
 • Custom reports using Tcl/Find
 • Regenerate timing report
   without need to re-run synthesis

© Synopsys 2011   17
Reproducible, Documented Design Process
 Tcl in Synplify Pro/Premier

                          • Access objects in RTL / Technology Database
    Find command          • Often complemented with Filter command


                          • Access object groups and their attributes
        Collections       • Present in Pro/Premier and several ASIC tools


          Expand          • Traverse hierarchies
         command

      Use with most
    Pro/Premier project   • add_file, get_env, set_option… etc.
        commands

          These commands augment standard Tcl commands

© Synopsys 2011   18
Reproducible, Documented Design Process
  Custom Reporting and Analysis using Tcl/Find … An Example

1. Define a Tcl / Find script                      analysis_example.tcl
    open_design implementation_a/top.srm

    set find_DSP48Es [find -hier –inst {*} -filter @view == {DSP48E*}]
    set find_negslack [find -hier –seq –inst {*} -filter @slack < {-0.0}]

    c_print $find_DSP48Es -file DSP48Es.txt
    c_print -prop slack -prop view $find_negslack -file negslack.txt


2. Run the script from the command line
                               synplify_pro –batch analysis_example.tcl


                            DSP48Es.txt                                negslack.txt
 {i:CPU_A_SOC.CPU.MULT.ABH_4[34:0]}         Object Name                        slack   view
 {i:CPU_A_SOC.CPU.MULT.ABH_7[47:0]}         {i:CPU_A_SOC.CPU.DATAPATH.GBR[0]} -3.264   "FDE"
 {i:CPU_B_SOC.CPU.MULT.ABH_0[34:0]}         {i:CPU_A_SOC.CPU.DATAPATH.GBR[1]} -3.158   "FDE"
 {i:CPU_B_SOC.CPU.MULT.ABH_3[47:0]}         {i:CPU_A_SOC.CPU.DATAPATH.GBR[2]} -3.091   "FDE"

            Inferred DSP48E instances                     Paths with negative slack
 © Synopsys 2011       19
Reproducible, Documented Design Process
 Re-synthesize archived designs

                                        Each project created in the UI is
                                        automatically saved as a tcl script (.tcl file)
                                        and as a project (.prj file) and includes
                                        source files, reports and results




 Save designs or hierarchical blocks
 as full project archive for future
 reuse
   project –archive
   Archives…
     • Design implementation files        Re-import archive later
        including reports                      project –unarchive
     • Tcl files and project file              Synthesis project restored
     • Input files (RTL, constraints)          automatically


© Synopsys 2011    20
Reproducible, Documented Design Process
 Preserve Parts of the Design

 • Synthesis optimizes the design to meet timing and then
   reduce area, removing redundant logic and collapsing nodes
 • Use synthesis attributes to preserve
        – Redundant logic for reliability purposes
        – Signals that you wish to probe
        – FSM error mitigation logic

 Attribute               Value                Description
 syn_keep                1/0                  Preserve a net
 syn_probe               1/0                  Preserve a net for probing
 syn_preserve            1/0                  Preserve a cell / sequential component
 syn_hier                firm, hard, macro,   Preserve a block
                         flatten
 syn_noprune             1/0                  Preserve an instantiated component (Instance)

© Synopsys 2011     21
Reproducible, Documented Design Process
 Preserving Names: RTL  Netlist

              Disable Sequential Optimizations
                  Implementation Options  Device tab




   • 1:1 correspondence between RTL and netlist names
   • Trades off QoR

© Synopsys 2011     22
Reproducible, Documented Design Process
 Reproducible results with Synplify products




 • Repeatable results
        – Synthesis results generally repeatable for a given FPGA device/speed
          grade targeted
        – “Path group” technology provides consistent results between runs when
          smalls change to the RTL or constraints occurs
        – Incremental flow and block-based flows isolate changes to only those
          blocks that changed

© Synopsys 2011     23
Verification and Equivalence Checks
 Synplify Premier Display /Selection of VCS Simulation Data


• View selected simulation
  results from VCS
      – Annotated on device pins in
        Synplify HDL Analyst Schematic
        Viewer
      – In WaveForm Viewer

• Time-slider updates and
  displays annotated signal
  values over time

• Tcl scriptable signal /time
  selection

• Use multiple HDL Analyst
  views to compare different
  simulations

© Synopsys 2011    24
Verification and Equivalence Checks
 Achieving Safety Critical Design Processes such as DO-254 Process
 Compliance


                                        Synplify Premier Synthesis
 Synphony HLS Algorithmic                Reproducible FPGA Synthesis               Identify RTL Debug on the
     (DSP) Synthesis                  Reporting, Documentation, Traceability                 Board
    System-Level Specifications           via Schematics and Log Files            Accurate Debugging Methodology
     Easily Documented Results           Disable Optimizations that Mar              RTL Level Visibility of Final
                                              Requirements Tracing                         Implementation
Area, Power and Speed Optimizations
                                       Data Archiving and Textual Files for         Easily Documented Results
 Simulink Spec vs. RTL Equivalence         Requirements Management
                                                   Applications


                                                                           VCS Simulation
                                                                            Testbench Simulation
                  FPGA-Based Prototyping
                                                                       Coverage Analysis & Reporting
                  HAPS FPGA-Based Prototype for
                        Proof of Concept                                    Waveform Generation
                                                                    Easily Documented Results & Reports




© Synopsys 2011            25
Summary
 Key Components to Achieving Highly Reliable Design

 • Accurate design specification
 • Built-in FPGA design safety
        – Mitigate effects of radiation that may cause unwanted transients
          (SETs and SEUs)
              • Safe FSM implementation
              • Implement redundancy and voting logic (TMR)
        – Power Reduction
 • Evaluate correct design operation quickly
        –   Trace requirements from specification to implementation
        –   Custom reporting
        –   RTL-level debug of the operating design
        –   Debug and early proof of concept of a design using FPGA-based
            prototypes
 • Reproducible documented design processes


© Synopsys 2011       26
THANK YOU


© Synopsys 2011   27

More Related Content

What's hot

Why rthplc v2
Why rthplc v2Why rthplc v2
Why rthplc v2tanima123
 
Time Recording Redefined B Web 93 00
Time Recording Redefined B Web 93 00Time Recording Redefined B Web 93 00
Time Recording Redefined B Web 93 00KabaAustraliaAWM
 
NI Compact RIO Platform
NI Compact RIO PlatformNI Compact RIO Platform
NI Compact RIO Platformjlai
 
V diagram por inverter control
V diagram por inverter controlV diagram por inverter control
V diagram por inverter controlJavier Gutierrez
 
Zi1one Presentation Rev7 Eng(Sep2011)
Zi1one Presentation Rev7 Eng(Sep2011)Zi1one Presentation Rev7 Eng(Sep2011)
Zi1one Presentation Rev7 Eng(Sep2011)Giancarlo Mancinelli
 
4 metals workshop igor quintao
4   metals workshop igor quintao4   metals workshop igor quintao
4 metals workshop igor quintaoGE_Energy
 
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)Michael Smith
 
Advanced Automation Appliances 6.21.09
Advanced Automation Appliances 6.21.09Advanced Automation Appliances 6.21.09
Advanced Automation Appliances 6.21.09mgk918
 
Hatfield skip
Hatfield skipHatfield skip
Hatfield skipNASAPMC
 

What's hot (18)

Why rthplc v2
Why rthplc v2Why rthplc v2
Why rthplc v2
 
Trackguard WTS brochure
Trackguard WTS brochureTrackguard WTS brochure
Trackguard WTS brochure
 
Time Recording Redefined B Web 93 00
Time Recording Redefined B Web 93 00Time Recording Redefined B Web 93 00
Time Recording Redefined B Web 93 00
 
NI Compact RIO Platform
NI Compact RIO PlatformNI Compact RIO Platform
NI Compact RIO Platform
 
V diagram por inverter control
V diagram por inverter controlV diagram por inverter control
V diagram por inverter control
 
Jonathan bromley doulos
Jonathan bromley doulosJonathan bromley doulos
Jonathan bromley doulos
 
Zi1one Presentation Rev7 Eng(Sep2011)
Zi1one Presentation Rev7 Eng(Sep2011)Zi1one Presentation Rev7 Eng(Sep2011)
Zi1one Presentation Rev7 Eng(Sep2011)
 
DeltaV Virtualization
DeltaV VirtualizationDeltaV Virtualization
DeltaV Virtualization
 
Blackfin system services
Blackfin system servicesBlackfin system services
Blackfin system services
 
4 metals workshop igor quintao
4   metals workshop igor quintao4   metals workshop igor quintao
4 metals workshop igor quintao
 
Honey process manager
Honey   process  managerHoney   process  manager
Honey process manager
 
Graph7 e
Graph7 eGraph7 e
Graph7 e
 
Kop e
Kop eKop e
Kop e
 
Dcs course
Dcs courseDcs course
Dcs course
 
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)
BlackHat 2011 - Exploiting Siemens Simatic S7 PLCs (slides)
 
91 94
91 9491 94
91 94
 
Advanced Automation Appliances 6.21.09
Advanced Automation Appliances 6.21.09Advanced Automation Appliances 6.21.09
Advanced Automation Appliances 6.21.09
 
Hatfield skip
Hatfield skipHatfield skip
Hatfield skip
 

Viewers also liked

White paper" La DO-254 pour les nuls"
White paper" La DO-254 pour les nuls"White paper" La DO-254 pour les nuls"
White paper" La DO-254 pour les nuls"SILKAN
 
Fenet 두근두근 africa 아프리카인사이트 허성용
Fenet 두근두근 africa   아프리카인사이트 허성용Fenet 두근두근 africa   아프리카인사이트 허성용
Fenet 두근두근 africa 아프리카인사이트 허성용opencontentslab
 
Preventing XSS with Content Security Policy
Preventing XSS with Content Security PolicyPreventing XSS with Content Security Policy
Preventing XSS with Content Security PolicyKsenia Peguero
 
BSIMM-V: The Building Security In Maturity Model
BSIMM-V: The Building Security In Maturity ModelBSIMM-V: The Building Security In Maturity Model
BSIMM-V: The Building Security In Maturity ModelCigital
 
2015 Upload Campaigns Calendar - SlideShare
2015 Upload Campaigns Calendar - SlideShare2015 Upload Campaigns Calendar - SlideShare
2015 Upload Campaigns Calendar - SlideShareSlideShare
 
What to Upload to SlideShare
What to Upload to SlideShareWhat to Upload to SlideShare
What to Upload to SlideShareSlideShare
 
How to Make Awesome SlideShares: Tips & Tricks
How to Make Awesome SlideShares: Tips & TricksHow to Make Awesome SlideShares: Tips & Tricks
How to Make Awesome SlideShares: Tips & TricksSlideShare
 
Getting Started With SlideShare
Getting Started With SlideShareGetting Started With SlideShare
Getting Started With SlideShareSlideShare
 

Viewers also liked (13)

Adi jul1311
Adi jul1311Adi jul1311
Adi jul1311
 
White paper" La DO-254 pour les nuls"
White paper" La DO-254 pour les nuls"White paper" La DO-254 pour les nuls"
White paper" La DO-254 pour les nuls"
 
Nxp jul1311
Nxp jul1311Nxp jul1311
Nxp jul1311
 
An 1072
An 1072An 1072
An 1072
 
Java introduction
Java introductionJava introduction
Java introduction
 
Fenet 두근두근 africa 아프리카인사이트 허성용
Fenet 두근두근 africa   아프리카인사이트 허성용Fenet 두근두근 africa   아프리카인사이트 허성용
Fenet 두근두근 africa 아프리카인사이트 허성용
 
Preventing XSS with Content Security Policy
Preventing XSS with Content Security PolicyPreventing XSS with Content Security Policy
Preventing XSS with Content Security Policy
 
BSIMM-V: The Building Security In Maturity Model
BSIMM-V: The Building Security In Maturity ModelBSIMM-V: The Building Security In Maturity Model
BSIMM-V: The Building Security In Maturity Model
 
SYNOPSIS WRITING
SYNOPSIS WRITINGSYNOPSIS WRITING
SYNOPSIS WRITING
 
2015 Upload Campaigns Calendar - SlideShare
2015 Upload Campaigns Calendar - SlideShare2015 Upload Campaigns Calendar - SlideShare
2015 Upload Campaigns Calendar - SlideShare
 
What to Upload to SlideShare
What to Upload to SlideShareWhat to Upload to SlideShare
What to Upload to SlideShare
 
How to Make Awesome SlideShares: Tips & Tricks
How to Make Awesome SlideShares: Tips & TricksHow to Make Awesome SlideShares: Tips & Tricks
How to Make Awesome SlideShares: Tips & Tricks
 
Getting Started With SlideShare
Getting Started With SlideShareGetting Started With SlideShare
Getting Started With SlideShare
 

Similar to Synopsys jul1411

Monitoreo y análisis de aplicaciones "Multi-Tier"
Monitoreo y análisis de aplicaciones "Multi-Tier"Monitoreo y análisis de aplicaciones "Multi-Tier"
Monitoreo y análisis de aplicaciones "Multi-Tier"GeneXus
 
Qualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyQualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyPankaj Singh
 
Automotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityAutomotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityNicolas Navet
 
Automotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityAutomotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityRealTime-at-Work (RTaW)
 
RTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationRTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationTarek Salah
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design chiportal
 
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...Intel® Software
 
Lotus domino consolidation to linux on system z
Lotus domino consolidation to linux on system zLotus domino consolidation to linux on system z
Lotus domino consolidation to linux on system zsystemz
 
Cloud Technology: Now Entering the Business Process Phase
Cloud Technology: Now Entering the Business Process PhaseCloud Technology: Now Entering the Business Process Phase
Cloud Technology: Now Entering the Business Process Phasefinteligent
 
Edge Computing and 5G - SDN/NFV London meetup
Edge Computing and 5G - SDN/NFV London meetupEdge Computing and 5G - SDN/NFV London meetup
Edge Computing and 5G - SDN/NFV London meetupHaidee McMahon
 
Introduction to container networking in K8s - SDN/NFV London meetup
Introduction to container networking in K8s - SDN/NFV  London meetupIntroduction to container networking in K8s - SDN/NFV  London meetup
Introduction to container networking in K8s - SDN/NFV London meetupHaidee McMahon
 
Embedded os
Embedded osEmbedded os
Embedded oschian417
 
Intel_Intelligent Solutions for Military and Aerospace
Intel_Intelligent Solutions for Military and AerospaceIntel_Intelligent Solutions for Military and Aerospace
Intel_Intelligent Solutions for Military and AerospaceIşınsu Akçetin
 
Quieting noisy neighbor with Intel® Resource Director Technology
Quieting noisy neighbor with Intel® Resource Director TechnologyQuieting noisy neighbor with Intel® Resource Director Technology
Quieting noisy neighbor with Intel® Resource Director TechnologyMichelle Holley
 
Reference Architecture for Electric Energy OT.pdf
Reference Architecture for Electric Energy OT.pdfReference Architecture for Electric Energy OT.pdf
Reference Architecture for Electric Energy OT.pdfimjamadarp19
 
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...Intel® Software
 
Lenovo High Availability Solutions Brief
Lenovo High Availability Solutions BriefLenovo High Availability Solutions Brief
Lenovo High Availability Solutions BriefDataCore Software
 

Similar to Synopsys jul1411 (20)

Monitoreo y análisis de aplicaciones "Multi-Tier"
Monitoreo y análisis de aplicaciones "Multi-Tier"Monitoreo y análisis de aplicaciones "Multi-Tier"
Monitoreo y análisis de aplicaciones "Multi-Tier"
 
Qualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyQualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional Safety
 
Automotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityAutomotive communication systems: from dependability to security
Automotive communication systems: from dependability to security
 
Automotive communication systems: from dependability to security
Automotive communication systems: from dependability to securityAutomotive communication systems: from dependability to security
Automotive communication systems: from dependability to security
 
s2000nano
s2000nanos2000nano
s2000nano
 
RTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationRTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 Verification
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design
 
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...
Unleashing Intel® Advanced Vector Extensions 512 (Intel® AVX-512) Inside the ...
 
Lotus domino consolidation to linux on system z
Lotus domino consolidation to linux on system zLotus domino consolidation to linux on system z
Lotus domino consolidation to linux on system z
 
02 intro syst_gen
02 intro syst_gen02 intro syst_gen
02 intro syst_gen
 
Cloud Technology: Now Entering the Business Process Phase
Cloud Technology: Now Entering the Business Process PhaseCloud Technology: Now Entering the Business Process Phase
Cloud Technology: Now Entering the Business Process Phase
 
Edge Computing and 5G - SDN/NFV London meetup
Edge Computing and 5G - SDN/NFV London meetupEdge Computing and 5G - SDN/NFV London meetup
Edge Computing and 5G - SDN/NFV London meetup
 
Introduction to container networking in K8s - SDN/NFV London meetup
Introduction to container networking in K8s - SDN/NFV  London meetupIntroduction to container networking in K8s - SDN/NFV  London meetup
Introduction to container networking in K8s - SDN/NFV London meetup
 
Embedded os
Embedded osEmbedded os
Embedded os
 
Intel_Intelligent Solutions for Military and Aerospace
Intel_Intelligent Solutions for Military and AerospaceIntel_Intelligent Solutions for Military and Aerospace
Intel_Intelligent Solutions for Military and Aerospace
 
Quieting noisy neighbor with Intel® Resource Director Technology
Quieting noisy neighbor with Intel® Resource Director TechnologyQuieting noisy neighbor with Intel® Resource Director Technology
Quieting noisy neighbor with Intel® Resource Director Technology
 
Ankit sarin
Ankit sarinAnkit sarin
Ankit sarin
 
Reference Architecture for Electric Energy OT.pdf
Reference Architecture for Electric Energy OT.pdfReference Architecture for Electric Energy OT.pdf
Reference Architecture for Electric Energy OT.pdf
 
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...
Embree Ray Tracing Kernels | Overview and New Features | SIGGRAPH 2018 Tech S...
 
Lenovo High Availability Solutions Brief
Lenovo High Availability Solutions BriefLenovo High Availability Solutions Brief
Lenovo High Availability Solutions Brief
 

Recently uploaded

Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxUnit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxNitish292041
 
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Associazione Digital Days
 
Niintendo Wii Presentation Template.pptx
Niintendo Wii Presentation Template.pptxNiintendo Wii Presentation Template.pptx
Niintendo Wii Presentation Template.pptxKevinYaelJimnezSanti
 
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDin
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDinGeneral Simple Guide About AI in Design By: A.L. Samar Hossam ElDin
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDinSamar Hossam ElDin Ahmed
 
Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Rndexperts
 
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...Rishabh Aryan
 
Map of St. Louis Parks
Map of St. Louis Parks                              Map of St. Louis Parks
Map of St. Louis Parks CharlottePulte
 
guest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssguest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssNadaMohammed714321
 
simpson-lee_house_dt20ajshsjsjsjsjj15.pdf
simpson-lee_house_dt20ajshsjsjsjsjj15.pdfsimpson-lee_house_dt20ajshsjsjsjsjj15.pdf
simpson-lee_house_dt20ajshsjsjsjsjj15.pdfLucyBonelli
 
guest bathroom white and blue ssssssssss
guest bathroom white and blue ssssssssssguest bathroom white and blue ssssssssss
guest bathroom white and blue ssssssssssNadaMohammed714321
 
world health day 2024.pptxgbbvggvbhjjjbbbb
world health day 2024.pptxgbbvggvbhjjjbbbbworld health day 2024.pptxgbbvggvbhjjjbbbb
world health day 2024.pptxgbbvggvbhjjjbbbbpreetirao780
 
NBA power point presentation final copy y
NBA power point presentation final copy yNBA power point presentation final copy y
NBA power point presentation final copy ysrajece
 
10 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 202410 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 2024digital learning point
 
CAPITAL GATE CASE STUDY -regional case study.pdf
CAPITAL GATE CASE STUDY -regional case study.pdfCAPITAL GATE CASE STUDY -regional case study.pdf
CAPITAL GATE CASE STUDY -regional case study.pdfAlasAlthaher
 
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.ppt
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.pptMaking and Unmaking of Chandigarh - A City of Two Plans2-4-24.ppt
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.pptJIT KUMAR GUPTA
 
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道yrolcks
 
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - MorgenboosterAI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster1508 A/S
 
Piece by Piece Magazine
Piece by Piece Magazine                      Piece by Piece Magazine
Piece by Piece Magazine CharlottePulte
 
Karim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppKarim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppNadaMohammed714321
 
The spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyThe spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyChristopher Totten
 

Recently uploaded (20)

Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxUnit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
 
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
 
Niintendo Wii Presentation Template.pptx
Niintendo Wii Presentation Template.pptxNiintendo Wii Presentation Template.pptx
Niintendo Wii Presentation Template.pptx
 
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDin
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDinGeneral Simple Guide About AI in Design By: A.L. Samar Hossam ElDin
General Simple Guide About AI in Design By: A.L. Samar Hossam ElDin
 
Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025
 
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...
DAKSHIN BIHAR GRAMIN BANK: REDEFINING THE DIGITAL BANKING EXPERIENCE WITH A U...
 
Map of St. Louis Parks
Map of St. Louis Parks                              Map of St. Louis Parks
Map of St. Louis Parks
 
guest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssguest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssss
 
simpson-lee_house_dt20ajshsjsjsjsjj15.pdf
simpson-lee_house_dt20ajshsjsjsjsjj15.pdfsimpson-lee_house_dt20ajshsjsjsjsjj15.pdf
simpson-lee_house_dt20ajshsjsjsjsjj15.pdf
 
guest bathroom white and blue ssssssssss
guest bathroom white and blue ssssssssssguest bathroom white and blue ssssssssss
guest bathroom white and blue ssssssssss
 
world health day 2024.pptxgbbvggvbhjjjbbbb
world health day 2024.pptxgbbvggvbhjjjbbbbworld health day 2024.pptxgbbvggvbhjjjbbbb
world health day 2024.pptxgbbvggvbhjjjbbbb
 
NBA power point presentation final copy y
NBA power point presentation final copy yNBA power point presentation final copy y
NBA power point presentation final copy y
 
10 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 202410 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 2024
 
CAPITAL GATE CASE STUDY -regional case study.pdf
CAPITAL GATE CASE STUDY -regional case study.pdfCAPITAL GATE CASE STUDY -regional case study.pdf
CAPITAL GATE CASE STUDY -regional case study.pdf
 
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.ppt
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.pptMaking and Unmaking of Chandigarh - A City of Two Plans2-4-24.ppt
Making and Unmaking of Chandigarh - A City of Two Plans2-4-24.ppt
 
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
 
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - MorgenboosterAI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
 
Piece by Piece Magazine
Piece by Piece Magazine                      Piece by Piece Magazine
Piece by Piece Magazine
 
Karim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppKarim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 ppppppppppppppp
 
The spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyThe spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenology
 

Synopsys jul1411

  • 1. Faster, Safer Implementation of High-Reliability, High-Availability Designs using FPGAs Angela Sutton Staff Product Marketing Manager Synopsys July 2011 © Synopsys 2011 1
  • 2. Top Concerns for High-Rel Applications • Safety-critical design – SEU mitigation – Safe FSM Consumer • Requirements tracking Industrial Wireless • Power reduction Medical/ Computing/Storage • Verification & debug Instrumentation Military & Aerospace • Ease of use Wired Comms Wired Comms. Medical/Instruments Mil/Aero Automotive Broadcast Other Source: Channel Media & Market Research, August 2010 © Synopsys 2011 2
  • 3. Agenda Design Reliability involves many things including Complete and Accurate Design Specification Constraints and syntax checking Design specification checking Built in Safety Triple Modular Redundancy (TMR) Safe Finite State Machines (Safe FSMs) Power Reduction Evaluate / Debug Correct Chip Operation Implement and preserve debug logic during synthesis Debug chip at the RTL Level in Hardware Debug and Develop Proof of Concept using Prototyping Hardware Reproducible, Documented Design Process Documentation, Archiving and Restoration DO-254 and Process Compliance Verification and Equivalence Checks Disabling optimizations that obstruct requirements tracing RTL debug in operating hardware © Synopsys 2011 3
  • 4. Complete and Accurate Design Specification Clock Domain Synchronization Assurance Find combinatorial paths that cross clock domains without synchronization Clock1 Clock2 State State element element Logic Path Clock1 and Clock2 controlled by clocks in different clock domains i.e. in different clock groups © Synopsys 2011 4
  • 5. Complete and Accurate Design Specification Check constraints syntax, including TCL/Find constraints Synplify constraints checker © Synopsys 2011 5
  • 6. Built-in Safety SEU Mitigation with Triple Modular Redundancy • TMR helps mitigate SEUs induced by radiation effects • Insert redundancy during IMPL1 synthesis with triplicated circuitry + voting logic Voting IMPL2 Output • Configure the type of TMR to Logic be used (register, block etc.) • And/or create custom TMR IMPL3 architectures and invoke settings that ensure redundant circuitry is not optimized away © Synopsys 2011 6
  • 7. Built-in Safety Automatic Local TMR – an example SDC define_global_attribute {syn_radhardlevel} {tmr} RTL (Verilog) /*synthesis syn_radhardlevel="tmr"*/ RTL (VHDL) attribute syn_radhardlevel of behave : architecture is "tmr"; Majority voting logic Triplicated Register © Synopsys 2011 7
  • 8. Built-in Safety Implementing Safe FSMs syn_state_machine Hamming-3 syn_encoding TMR / syn_radhardlevel Output Output Function Register Output Logic syn_keep, State Error syn_preserve, Next Register Detection Input syn_hier, State syn_noprune Logic Reset / Error Mitigation syn_probe Deadlock/Time-out Counter © Synopsys 2011 8
  • 9. Power Reduction • Automatic generation of switching activity – Replaces simulator-generated VCD or SAIF – No testbench/vectors or simulation required Synplify Premier – Produces defacto standard SAIF format – Created during logic synthesis Logic Synthesis • Use for early (pre P&R) power estimates Generate Switching – Xilinx XPower tool Activity Data – Other SAIF-based analysis tools • Use for power optimizations Activity Data Netlist + – SAIF drives power optimization in P&R (SAIF) Constraints • Less area also results in lower power ISE P&R with power optimization © Synopsys 2011 9
  • 10. Chip Debug Why Functional Correctness is Easier to Assess from the RTL RTL DEBUG D[7:0] Gate level debuggers SEL have limited design C[7:0] 0 0 Z[7:0] visibility, making bugs B[7:0] 1 + 1 harder to find. A[7:0] • Enumerated TYPES in your RTL appear as 1’s and 0’s in the netlist Synthesis • Inferred RAM, DSPs and other synthesis optimizations cause debug nodes of interest to be absorbed or transformed - they can no longer be probed Netlist now includes GATE LEVEL DEBUG names and structures SEL that differ greatly from D[7:0] 0 A[7:0] Z[7:0] the functional RTL C[7:0] + 1 B[7:0] description output no longer gated by SEL signal © Synopsys 2011 10
  • 11. Chip Debug Debug and Validate Chip on the Board at the RTL level Using Identify® RTL Debugger Identify Instrumentor Identify Debugger IICE Controller 1 5 a1 b1 2 1 5 a2 Multiplexer a1 b1 3 2 a3 a2 S1 D 3 a3 S2 1 5 a1 b1 2 a2 C ENB 3 a3 IICE Special IICE Controller Set trigger conditions, inserted in design during Create debug logic capture and display synthesis operating data © Synopsys 2011 11
  • 12. Chip Debug Validate FPGA on the Board at the RTL level • RTL Instrumentation Identify – Complex triggering (e.g. FSM) – Control of data sampled – Highly customizable sampling • RTL debugging – FPGA data buffered on chip – Support multiple clocks and cross-triggering between clocks Data “sample” values from – VCD created that can be FPGA annotated on top of RTL displayed superimposed on or in waveform viewer Synplify RTL schematic or in waveform viewer © Synopsys 2011 12
  • 13. Chip Debug During Synthesis HTML-based Synthesis Reporting © Synopsys 2011 13
  • 14. Chip Debug during Synthesis Error and Warning Messaging in HTML Message Viewer View warning messages in Synplify TCL window Apply warning filter to custom filter messages for easier analysis © Synopsys 2011 14
  • 15. Chip Debug and Early Proof of Concept Using HAPS-6X Series Prototyping Hardware HDL Files HDL Files (VHDL, Verilog, SystemVerilog, EDIF) (VHDL, Verilog, SystemVerilog, EDIF) Synplify Premier Certify / Identify HAPS-600/CHIPit Manager HAPS-64 HAPS-606, 609 ,612, 615, 618 up to 18 M ASIC Gates scalable from 27.5 M up to 81 M ASIC Gates HAPS-61 HAPS-62 up to 4.5 M ASIC Gates up to 9 M ASIC Gates Synplify Premier HAPS-600/CHIPit Manager + Synplify – For single FPGA solution Premier Certify / Identify – High level of automation – For multi-FPGA solution & debug © Synopsys 2011 15
  • 16. Chip Debug and Early Proof of Concept Using HAPS-60 PCB Technology Faster Silicon • Length-matched traces • V6 technology • High signal-integrity board • 15% faster • Unique high-end PCB material for highest possible performance High Speed Connector Advanced Power • Low profile, PCB-based Management • Low noise • Efficient power distribution • Better signal integrity • Precision voltage stability • 30% faster High-Speed Time Division Multiplexing (HSTDM) • 1 Gbit/sec data rate • Automated implementation • Increases interconnect bandwidth Time Division Multiplexing • 25% faster © Synopsys 2011 16
  • 17. Reproducible, Documented Design Process Document and Trace Design Requirements • RTL, gate and physical schematics – Cross-probe between RTL, Netlist, Vendor and Synplify timing reports and schematics • Timing reports specifying – Start point(s) – End point(s) – Start and end points • Custom reports using Tcl/Find • Regenerate timing report without need to re-run synthesis © Synopsys 2011 17
  • 18. Reproducible, Documented Design Process Tcl in Synplify Pro/Premier • Access objects in RTL / Technology Database Find command • Often complemented with Filter command • Access object groups and their attributes Collections • Present in Pro/Premier and several ASIC tools Expand • Traverse hierarchies command Use with most Pro/Premier project • add_file, get_env, set_option… etc. commands These commands augment standard Tcl commands © Synopsys 2011 18
  • 19. Reproducible, Documented Design Process Custom Reporting and Analysis using Tcl/Find … An Example 1. Define a Tcl / Find script analysis_example.tcl open_design implementation_a/top.srm set find_DSP48Es [find -hier –inst {*} -filter @view == {DSP48E*}] set find_negslack [find -hier –seq –inst {*} -filter @slack < {-0.0}] c_print $find_DSP48Es -file DSP48Es.txt c_print -prop slack -prop view $find_negslack -file negslack.txt 2. Run the script from the command line synplify_pro –batch analysis_example.tcl DSP48Es.txt negslack.txt {i:CPU_A_SOC.CPU.MULT.ABH_4[34:0]} Object Name slack view {i:CPU_A_SOC.CPU.MULT.ABH_7[47:0]} {i:CPU_A_SOC.CPU.DATAPATH.GBR[0]} -3.264 "FDE" {i:CPU_B_SOC.CPU.MULT.ABH_0[34:0]} {i:CPU_A_SOC.CPU.DATAPATH.GBR[1]} -3.158 "FDE" {i:CPU_B_SOC.CPU.MULT.ABH_3[47:0]} {i:CPU_A_SOC.CPU.DATAPATH.GBR[2]} -3.091 "FDE" Inferred DSP48E instances Paths with negative slack © Synopsys 2011 19
  • 20. Reproducible, Documented Design Process Re-synthesize archived designs Each project created in the UI is automatically saved as a tcl script (.tcl file) and as a project (.prj file) and includes source files, reports and results Save designs or hierarchical blocks as full project archive for future reuse project –archive Archives… • Design implementation files Re-import archive later including reports project –unarchive • Tcl files and project file Synthesis project restored • Input files (RTL, constraints) automatically © Synopsys 2011 20
  • 21. Reproducible, Documented Design Process Preserve Parts of the Design • Synthesis optimizes the design to meet timing and then reduce area, removing redundant logic and collapsing nodes • Use synthesis attributes to preserve – Redundant logic for reliability purposes – Signals that you wish to probe – FSM error mitigation logic Attribute Value Description syn_keep 1/0 Preserve a net syn_probe 1/0 Preserve a net for probing syn_preserve 1/0 Preserve a cell / sequential component syn_hier firm, hard, macro, Preserve a block flatten syn_noprune 1/0 Preserve an instantiated component (Instance) © Synopsys 2011 21
  • 22. Reproducible, Documented Design Process Preserving Names: RTL  Netlist Disable Sequential Optimizations Implementation Options  Device tab • 1:1 correspondence between RTL and netlist names • Trades off QoR © Synopsys 2011 22
  • 23. Reproducible, Documented Design Process Reproducible results with Synplify products • Repeatable results – Synthesis results generally repeatable for a given FPGA device/speed grade targeted – “Path group” technology provides consistent results between runs when smalls change to the RTL or constraints occurs – Incremental flow and block-based flows isolate changes to only those blocks that changed © Synopsys 2011 23
  • 24. Verification and Equivalence Checks Synplify Premier Display /Selection of VCS Simulation Data • View selected simulation results from VCS – Annotated on device pins in Synplify HDL Analyst Schematic Viewer – In WaveForm Viewer • Time-slider updates and displays annotated signal values over time • Tcl scriptable signal /time selection • Use multiple HDL Analyst views to compare different simulations © Synopsys 2011 24
  • 25. Verification and Equivalence Checks Achieving Safety Critical Design Processes such as DO-254 Process Compliance Synplify Premier Synthesis Synphony HLS Algorithmic Reproducible FPGA Synthesis Identify RTL Debug on the (DSP) Synthesis Reporting, Documentation, Traceability Board System-Level Specifications via Schematics and Log Files Accurate Debugging Methodology Easily Documented Results Disable Optimizations that Mar RTL Level Visibility of Final Requirements Tracing Implementation Area, Power and Speed Optimizations Data Archiving and Textual Files for Easily Documented Results Simulink Spec vs. RTL Equivalence Requirements Management Applications VCS Simulation Testbench Simulation FPGA-Based Prototyping Coverage Analysis & Reporting HAPS FPGA-Based Prototype for Proof of Concept Waveform Generation Easily Documented Results & Reports © Synopsys 2011 25
  • 26. Summary Key Components to Achieving Highly Reliable Design • Accurate design specification • Built-in FPGA design safety – Mitigate effects of radiation that may cause unwanted transients (SETs and SEUs) • Safe FSM implementation • Implement redundancy and voting logic (TMR) – Power Reduction • Evaluate correct design operation quickly – Trace requirements from specification to implementation – Custom reporting – RTL-level debug of the operating design – Debug and early proof of concept of a design using FPGA-based prototypes • Reproducible documented design processes © Synopsys 2011 26