SlideShare a Scribd company logo
1 of 21
Advanced VLSI
BackEnd Flow of Digital Design
Debdeep Mukhopadhyay
debdeep@vlsi.iitkgp.ernet.in
Advanced VLSI
What is Backend?
• Physical Design:
1. FloorPlanning : Architect’s job
2. Placement : Builder’s job
3. Routing : Electrician’s job
Advanced VLSI
Definitions:
• FloorPlanning :
1. Estimate the sizes and set the initial
relative locations of the various blocks in
our ASIC.
2. Allocate space for clock and power
wiring
3. Decide on the location of the I/O and
power pads.
Advanced VLSI
Definitions (contd.)
Placement
•Defines the location of logic cells.
•Sets space for the inter-connect to
each logic cell.
•Assigns each logic cell to a
position in a row.
Routing
•Makes the connections
between logic cells.
•Global routing : Determines
where the inter-connections
between the placed logic cells and
blocks will be placed.
•Local Routing: Joins the logic
cells with interconnects.
Advanced VLSI
CAD Tools
• Floorplanning:
Goal: Calculate the sizes of all the blocks and assign them locations.
Objectives: Keep the highly connected blocks close.
• Placement:
Goal: Assign the interconnect areas and the location of the logic cells.
Objectives: Minimize the ASIC area and the interconnect density.
• Global Routing:
Goals: Determine the location of all the inter-connects.
Objectives: Minimize the total interconnect area used.
• Detailed Routing:
Goals: Completely route all the interconnect on the chip.
Objectives: Minimize the total interconnect length used.
Advanced VLSI
Our Dear tool : Silicon Ensemble
• LEF: Cell boundaries, pins, routing layer
(metal) spacing and connect rules.
• DEF: Contains netlist information, cell
placement, cell orientation, physical
connectivity.
• GCF: Top-level timing constraints handed
down by the front end designer are handed
to the SE, using PEARL.
Advanced VLSI
The Tutorial
Advanced VLSI
The files required
• Pre-running file:
se.ini- initialization file for SE.
• Create the following directories:
lef, def, verilog (netlist) , gcf.
• Type seultra –m=300 &, opens SE in graphical
mode.
Advanced VLSI
Importing required files
• Import LEF (in the order given):
header.lef, xlitecore.lef, c8d_40m_dio_00.lef
• Import gcf file:
• Import verilog netlist, xlite_core.v,
c8d_40m_dio_00.v, padded_netlist.v
• Import the gcf file as system constraints
file.
• Import the .def file for the floor-planning
Advanced VLSI
Structure of a Die
• A Silicon die is mounted inside a chip package.
• A die consists of a logic core inside a power ring.
• Pad-limited die uses tall and thin pads which
maximises the pads used.
• Special power pads are used for the VDD and
VSS.
• One set of power pads supply one power ring that
supplies power to the I/O pads only: Dirty Power.
• Another set of power pads supply power to the
logic core: Clean Power.
Advanced VLSI
• Dirty Power: Supply large transient
current to the output transistor.
• Avoids injecting noise into the internal
logic circuitry.
• I/O Pads can protect against ESD as it
has special circuit to protect against
very short high voltage pulses.
Advanced VLSI
Design Styles
• PAD limited design: The number of PADS
around the outer edge of the die determines
the die size , not the number of gates.
• Opposite to that we have a core-limited
design.
Advanced VLSI
Concept of clock Tree
Main
Branch
Clock
Pad
Side
Branches
Advanced VLSI
CLK
A1, B1, C1
D1, D2, E1
D3, E2, F1
Clock
Spine
C1 C2 C3
An important result:
The delay through a chain of CMOS gates is minimized when the
ratio between the input capacitance C1 and the load C2 is about 3.
CLOCK DRIVER
Advanced VLSI
Clock and the cells
A1
B1
B2
E1
E2
F1
D3
D1
D2
CLK
Advanced VLSI
• All clocked elements are driven from one
net with a clock spine, skew is caused by
differing interconnect delays and loads
(fanouts ?).
• If the clock driver delay is much larger than
the inter-connect delay, a clock spline
achieves minimum skew but with latency.
• Spread the power dissipation through the
chip.
• Balance the rise and the fall time.
Advanced VLSI
Placement
• Row based ASICS.
• Interconnects run in horizontal and vertical
directions.
• Channel Capacity: Maximum number of
horizontal connections.
• Row Utilization
Advanced VLSI
Goals
• Arrange the logical cells within the flexible
blocks.
• Minimize the critical net delay.
• Chip as dense as possible
• Minimize the power dissipation
• Minimize cross talk
• Very hard : minimize interconnect length,
meet timing requirement for critical length and
minimize the interconnect congestion.
Advanced VLSI
Timing Driven Placements
• Estimate delay for every net in every trial
placement
• We may estimate length but layers and vias
are not known.
• Hence estimate the RC values.
• But still the results are satisfactory.
Advanced VLSI
Routing
• Minimize the interconnect length.
• Maximize the probability that the detailed
router can completely finish the job.
• Minimize the critical path delay.
Advanced VLSI
Conclusion: Our backend flow
1. Loading initial data.
2. Floor-planning
3. I/O Placing
4. Planning the power routing : Adding Power rings , stripes
5. Placing cells
6. Placing the clock tree.
7. Adding filler cells.
8. Power routing : Connect the rings to the follow pins of the cells.
9. Routing ( Global and final routing )
10. Verify Connectivity, geometry and antenna violations.
11. Physical verification (DRC and LVS check using Hercules).
12. Best of luck in the Lab
Thank You

More Related Content

What's hot

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messagesMujahid Mohammed
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 

What's hot (20)

Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
Physical design
Physical design Physical design
Physical design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Physical design
Physical design Physical design
Physical design
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 

Viewers also liked

Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Amy Dalrymple
 
Programming basics
Programming basicsProgramming basics
Programming basicsmercerhall
 
Interconnect resistance estimation
Interconnect resistance estimationInterconnect resistance estimation
Interconnect resistance estimationToni Garbel
 
Today's Trending Technologies 2014
Today's Trending Technologies 2014Today's Trending Technologies 2014
Today's Trending Technologies 2014Hazem Torab
 
Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Arul Kumar
 
Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Matteo Collina
 
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsHow To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsSauce Labs
 
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
Quantum Computers  PART 1 & 2 by Prof Lili SaghafiQuantum Computers  PART 1 & 2 by Prof Lili Saghafi
Quantum Computers PART 1 & 2 by Prof Lili SaghafiProfessor Lili Saghafi
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Lecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationLecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationDr. Ghanshyam Singh
 
Wafer manufacturing process
Wafer manufacturing processWafer manufacturing process
Wafer manufacturing processadi mandloi
 

Viewers also liked (13)

Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014Front-End Dev for Back-End Developers, That Conference 2014
Front-End Dev for Back-End Developers, That Conference 2014
 
Programming basics
Programming basicsProgramming basics
Programming basics
 
Interconnect resistance estimation
Interconnect resistance estimationInterconnect resistance estimation
Interconnect resistance estimation
 
Today's Trending Technologies 2014
Today's Trending Technologies 2014Today's Trending Technologies 2014
Today's Trending Technologies 2014
 
Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9Lect5stickdiagramlayoutrules 1226994677707873-9
Lect5stickdiagramlayoutrules 1226994677707873-9
 
Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...Designing and developing mobile web applications with Mockup, Sencha Touch an...
Designing and developing mobile web applications with Mockup, Sencha Touch an...
 
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce LabsHow To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
How To Combine Back-End 
 & Front-End Testing with BlazeMeter & Sauce Labs
 
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
Quantum Computers  PART 1 & 2 by Prof Lili SaghafiQuantum Computers  PART 1 & 2 by Prof Lili Saghafi
Quantum Computers PART 1 & 2 by Prof Lili Saghafi
 
Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)Vlsi stick daigram (JCE)
Vlsi stick daigram (JCE)
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Lecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparationLecture 2 ic fabrication processing & wafer preparation
Lecture 2 ic fabrication processing & wafer preparation
 
Wafer manufacturing process
Wafer manufacturing processWafer manufacturing process
Wafer manufacturing process
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 

Similar to Back end[1] debdeep

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksDesign World
 
ITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfssuser2d7235
 
campus_design_eng1.ppt
campus_design_eng1.pptcampus_design_eng1.ppt
campus_design_eng1.pptchali100
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
Campas network design overview
Campas network design overviewCampas network design overview
Campas network design overviewAnushka Hapuhinna
 
Warehouse scale computer
Warehouse scale computerWarehouse scale computer
Warehouse scale computerHassan A-j
 
Predstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskPredstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskKlemen Bacak
 
Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01yibeltal yideg
 
Introduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureIntroduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureAnkita Mahajan
 
Virt july-2013-meetup
Virt july-2013-meetupVirt july-2013-meetup
Virt july-2013-meetupnvirters
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_designHung Nguyen
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
Ccna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelessCcna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelesskratos2424
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptRichikDey5
 

Similar to Back end[1] debdeep (20)

Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed ClocksAccurate Synchronization of EtherCAT Systems Using Distributed Clocks
Accurate Synchronization of EtherCAT Systems Using Distributed Clocks
 
Chapter 10.pptx
Chapter 10.pptxChapter 10.pptx
Chapter 10.pptx
 
ITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdfITN3052_04_Switched_Networks.pdf
ITN3052_04_Switched_Networks.pdf
 
PD_Tcl_Examples
PD_Tcl_ExamplesPD_Tcl_Examples
PD_Tcl_Examples
 
campus_design_eng1.ppt
campus_design_eng1.pptcampus_design_eng1.ppt
campus_design_eng1.ppt
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
Campas network design overview
Campas network design overviewCampas network design overview
Campas network design overview
 
Warehouse scale computer
Warehouse scale computerWarehouse scale computer
Warehouse scale computer
 
Predstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - diskPredstavitev osnov klasičnih pomnilniških sistemov - disk
Predstavitev osnov klasičnih pomnilniških sistemov - disk
 
Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01Dcnintroduction 141010054657-conversion-gate01
Dcnintroduction 141010054657-conversion-gate01
 
Introduction to Data Center Network Architecture
Introduction to Data Center Network ArchitectureIntroduction to Data Center Network Architecture
Introduction to Data Center Network Architecture
 
Virt july-2013-meetup
Virt july-2013-meetupVirt july-2013-meetup
Virt july-2013-meetup
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI- Unit I
VLSI- Unit IVLSI- Unit I
VLSI- Unit I
 
Ccna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wirelessCcna exploration 3 lan switching and wireless
Ccna exploration 3 lan switching and wireless
 
VLSI Physical Design Automation.ppt
VLSI Physical Design Automation.pptVLSI Physical Design Automation.ppt
VLSI Physical Design Automation.ppt
 

Recently uploaded

Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfAddepto
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesZilliz
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024Stephanie Beckett
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 

Recently uploaded (20)

Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Gen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdfGen AI in Business - Global Trends Report 2024.pdf
Gen AI in Business - Global Trends Report 2024.pdf
 
Vector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector DatabasesVector Databases 101 - An introduction to the world of Vector Databases
Vector Databases 101 - An introduction to the world of Vector Databases
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024What's New in Teams Calling, Meetings and Devices March 2024
What's New in Teams Calling, Meetings and Devices March 2024
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 

Back end[1] debdeep

  • 1. Advanced VLSI BackEnd Flow of Digital Design Debdeep Mukhopadhyay debdeep@vlsi.iitkgp.ernet.in
  • 2. Advanced VLSI What is Backend? • Physical Design: 1. FloorPlanning : Architect’s job 2. Placement : Builder’s job 3. Routing : Electrician’s job
  • 3. Advanced VLSI Definitions: • FloorPlanning : 1. Estimate the sizes and set the initial relative locations of the various blocks in our ASIC. 2. Allocate space for clock and power wiring 3. Decide on the location of the I/O and power pads.
  • 4. Advanced VLSI Definitions (contd.) Placement •Defines the location of logic cells. •Sets space for the inter-connect to each logic cell. •Assigns each logic cell to a position in a row. Routing •Makes the connections between logic cells. •Global routing : Determines where the inter-connections between the placed logic cells and blocks will be placed. •Local Routing: Joins the logic cells with interconnects.
  • 5. Advanced VLSI CAD Tools • Floorplanning: Goal: Calculate the sizes of all the blocks and assign them locations. Objectives: Keep the highly connected blocks close. • Placement: Goal: Assign the interconnect areas and the location of the logic cells. Objectives: Minimize the ASIC area and the interconnect density. • Global Routing: Goals: Determine the location of all the inter-connects. Objectives: Minimize the total interconnect area used. • Detailed Routing: Goals: Completely route all the interconnect on the chip. Objectives: Minimize the total interconnect length used.
  • 6. Advanced VLSI Our Dear tool : Silicon Ensemble • LEF: Cell boundaries, pins, routing layer (metal) spacing and connect rules. • DEF: Contains netlist information, cell placement, cell orientation, physical connectivity. • GCF: Top-level timing constraints handed down by the front end designer are handed to the SE, using PEARL.
  • 8. Advanced VLSI The files required • Pre-running file: se.ini- initialization file for SE. • Create the following directories: lef, def, verilog (netlist) , gcf. • Type seultra –m=300 &, opens SE in graphical mode.
  • 9. Advanced VLSI Importing required files • Import LEF (in the order given): header.lef, xlitecore.lef, c8d_40m_dio_00.lef • Import gcf file: • Import verilog netlist, xlite_core.v, c8d_40m_dio_00.v, padded_netlist.v • Import the gcf file as system constraints file. • Import the .def file for the floor-planning
  • 10. Advanced VLSI Structure of a Die • A Silicon die is mounted inside a chip package. • A die consists of a logic core inside a power ring. • Pad-limited die uses tall and thin pads which maximises the pads used. • Special power pads are used for the VDD and VSS. • One set of power pads supply one power ring that supplies power to the I/O pads only: Dirty Power. • Another set of power pads supply power to the logic core: Clean Power.
  • 11. Advanced VLSI • Dirty Power: Supply large transient current to the output transistor. • Avoids injecting noise into the internal logic circuitry. • I/O Pads can protect against ESD as it has special circuit to protect against very short high voltage pulses.
  • 12. Advanced VLSI Design Styles • PAD limited design: The number of PADS around the outer edge of the die determines the die size , not the number of gates. • Opposite to that we have a core-limited design.
  • 13. Advanced VLSI Concept of clock Tree Main Branch Clock Pad Side Branches
  • 14. Advanced VLSI CLK A1, B1, C1 D1, D2, E1 D3, E2, F1 Clock Spine C1 C2 C3 An important result: The delay through a chain of CMOS gates is minimized when the ratio between the input capacitance C1 and the load C2 is about 3. CLOCK DRIVER
  • 15. Advanced VLSI Clock and the cells A1 B1 B2 E1 E2 F1 D3 D1 D2 CLK
  • 16. Advanced VLSI • All clocked elements are driven from one net with a clock spine, skew is caused by differing interconnect delays and loads (fanouts ?). • If the clock driver delay is much larger than the inter-connect delay, a clock spline achieves minimum skew but with latency. • Spread the power dissipation through the chip. • Balance the rise and the fall time.
  • 17. Advanced VLSI Placement • Row based ASICS. • Interconnects run in horizontal and vertical directions. • Channel Capacity: Maximum number of horizontal connections. • Row Utilization
  • 18. Advanced VLSI Goals • Arrange the logical cells within the flexible blocks. • Minimize the critical net delay. • Chip as dense as possible • Minimize the power dissipation • Minimize cross talk • Very hard : minimize interconnect length, meet timing requirement for critical length and minimize the interconnect congestion.
  • 19. Advanced VLSI Timing Driven Placements • Estimate delay for every net in every trial placement • We may estimate length but layers and vias are not known. • Hence estimate the RC values. • But still the results are satisfactory.
  • 20. Advanced VLSI Routing • Minimize the interconnect length. • Maximize the probability that the detailed router can completely finish the job. • Minimize the critical path delay.
  • 21. Advanced VLSI Conclusion: Our backend flow 1. Loading initial data. 2. Floor-planning 3. I/O Placing 4. Planning the power routing : Adding Power rings , stripes 5. Placing cells 6. Placing the clock tree. 7. Adding filler cells. 8. Power routing : Connect the rings to the follow pins of the cells. 9. Routing ( Global and final routing ) 10. Verify Connectivity, geometry and antenna violations. 11. Physical verification (DRC and LVS check using Hercules). 12. Best of luck in the Lab Thank You