SlideShare a Scribd company logo
1 of 20
AGENDA
INTRODUCTION TO INTEL® i7 EXTREME
 PROCESSORS
INTEL® QUICKPATH ARCHITECTURE
INTEL® SMART CACHE TECHNOLOGY
INTEL® HYPER-THREADING TECHNOLOGY
INTEL® TURBO-BOOST TECHNOLOGY
CONCLUSION
REFERENCES
INTRODUCTION TO INTEL® i7
        EXTREME PROCESSORS
What makes these processors fastest, dynamic, intelligent ??

• 64-bit Quad- core processor
• Implements the NEHALEM architecture which implements:
      - Intel® QuickPath Architecture
      - 8MB Intel® Smart Cache Technology
      - Intel® HT Technology
      - Intel® Turbo-Boost Technology
      - Second Generation Intel® Virtualization Technology
      - Support for SSE4.2 and SSE4.1 instruction sets
Fig-1: Diagram showing technical
improvements in the all new Intel® i7
              Processors
INTEL® QUICKPATH ARCHITECTURE
•   Earlier, when a Memory Action is performed; control signals followed the
    following path:
    Memory Controllers  Processor  Memory Controllers  Main Memory

•   Small Architectural change which realized significant increase in Memory
    Accessing Time, i.e.
    Memory Controller + Processor

    Intel® QuickPath Architecture features an Integrated Memory Controller and
    High-Speed Interconnect Bus linking processors and other components to
    deliver:
   Dynamically scalable interconnect bandwidth
   Outstanding memory performance and flexibility
   Tightly integrated interconnect reliability, availability, and serviceability (RAS)
   Optimal balance of price, performance, and energy efficiency.
Fig-2: Block Diagram showing the
  Intel® Quick Path Architecture
8MB INTEL® SMART CACHE TECHNOLOGY
     (3-LEVEL CACHE HIERARCHY)
• Shared L2 cache is not suited to a native quad-core architecture as
  different cores can too frequently flush data needed by another core.
• Therefore in this NEHALAM architecture, each core is provided with a
  Level 2 cache of its own. Since it’s dedicated to a single core and
  relatively small (256 KB), enabled it to endow with very high
  performance; latency.
• Then comes the enormous 8MB L3 cache for managing
  communications between cores.
• ADVANTAGE OF CACHE HIERARCHY:
  If a core tries to access a data item and it’s not present in the Level 3
  cache, there’s no need to look in the other cores’ private caches—the
  data item won’t be there either.
• DISADVANTAGE OF CACHE HIERARCHY:
  Wasting part of the cache memory with data that is already in other
  cache levels.
Fig-3: Diagram representing Three
       Level Cache Hierarchy
Fig-4: Diagram showing Three-Level Cache
    Hierarchy in a Quad-Core Processor
INTEL® HT TECHNOLOGY (HYPER
       THREADING TECHNOLOGY)
• In Hyper-Threading Technology the computer has one physical
  processor , but OS will see two logical processors and treat the
  system as if there were actually two processors.
• Improves the performance under multi-tasking environments.
• Intel HT Technology provides hardware multi-threading
  capability with a single physical package by using shared
  execution resources in a processor core.
• Architecturally, a processor that supports Intel HT Technology
  consists of two or more logical processors, each of which has
  its own architectural state.
• Each logical processor consists of a full set of data registers,
  segment registers, control registers, debug registers, and most
  of the MSRs. Each also has its own Advanced Programmable
  Interrupt Controller
Fig-5: Comparison of a Processor Supporting
Hyper-Threading Technology and a Traditional
           Dual Processor System
Fig-6: Diagrammatic Demonstration of a Two
   Threaded Task with and without Hyper-
            Threading Technology
Fig-7: Diagram Showing Resource Allocation
and Throughput in case of with and without HT
                 Technology
INTEL® TURBO BOOST TECHNOLOGY
• Activated when OS demands highest performance from the
  processor by scaling the operating frequency of the processor
  if it's operating below power, current, and temperature
  specification limits.
• It’s design concept in referred to as Dynamic Clocking.
• When just one or two cores are being actively used; whatever
  power the other two or three cores would have been
  consumed is redirected to the active cores.
• Turbo Boost can increase the frequency of all four cores until
  they're running as fast as they can for the current workload.
• The upper limit of Intel Turbo Boost Technology on a given
  workload is set by:
    (i) Number of active cores         (ii) Estimated current consumption
   (iii) Estimated power consumption   (iv)Processor temperature
Fig-8: Diagram showing the functionality
        of Turbo Boost Technology
Fig-9: Frequency scaling in different cases
       using Turbo Boost Technology
CONCLUSION
Today's processor are expected to provide
higher performance. That’s what is exactly
provided by the INTEL® i7 processors. Being
the first of its kind, the INTEL® i7 processor
has continuously got good rating from all kind
of users. All the new technologies
implemented in INTEL® i7 processors will
surely lead to a great computing experience.
REFERENCES
[1]Intel i7 Developer’s Manual
[2]http://www.intel.com/content/www/us/en/processors/core/core-i7-
    processor.html
[3]http://reviews.cnet.com/processors/intel-core-i7-965/4505-3086_7-
    33366836.html
[4]http://www.intel.com/technology/product/demos/turboboost/demo.htm?
    iid=tech_demo+tb
[5]http://www.youtube.com/watch?v=llOXMPXH2VA
[6]http://www.youtube.com/watch?v=kkrqyEpINSQ
[7]http://www.intel.com/content/www/us/en/io/quickpath-
    technology/performance-quickpath-architecture-paper.html
[8]http://www.youtube.com/watch?v=bE9EbQOeb_U
[9]http://www.youtube.com/watch?v=An7w0laRGv8
Intel i7 Technologies
Intel i7 Technologies

More Related Content

What's hot

Final draft intel core i5 processors architecture
Final draft intel core i5 processors architectureFinal draft intel core i5 processors architecture
Final draft intel core i5 processors architecture
Jawid Ahmad Baktash
 
Core I3 Vs Core I5
Core I3 Vs Core I5Core I3 Vs Core I5
Core I3 Vs Core I5
Ayeshasidhu
 
Intel core i3, i5, i7 , core2 duo and atom processors
Intel core i3, i5, i7 , core2 duo and atom processorsIntel core i3, i5, i7 , core2 duo and atom processors
Intel core i3, i5, i7 , core2 duo and atom processors
FadyMorris
 

What's hot (20)

Final draft intel core i5 processors architecture
Final draft intel core i5 processors architectureFinal draft intel core i5 processors architecture
Final draft intel core i5 processors architecture
 
Intel Processor core i7
Intel Processor core i7Intel Processor core i7
Intel Processor core i7
 
Intel’s core i7
Intel’s core i7Intel’s core i7
Intel’s core i7
 
Intel Core I5
Intel Core I5Intel Core I5
Intel Core I5
 
Intel core i7
Intel core i7Intel core i7
Intel core i7
 
Core i 7 processor
Core i 7 processorCore i 7 processor
Core i 7 processor
 
Intel i7
Intel i7Intel i7
Intel i7
 
Intel Core i7 Processors
Intel Core i7 ProcessorsIntel Core i7 Processors
Intel Core i7 Processors
 
Core 2 processors
Core 2 processorsCore 2 processors
Core 2 processors
 
Intel core i3 processor
Intel core i3 processorIntel core i3 processor
Intel core i3 processor
 
Core i3-2
Core i3-2Core i3-2
Core i3-2
 
difference between an Intel Core i3, i5 and i7
difference between an Intel Core i3, i5 and i7difference between an Intel Core i3, i5 and i7
difference between an Intel Core i3, i5 and i7
 
Core I3 Vs Core I5
Core I3 Vs Core I5Core I3 Vs Core I5
Core I3 Vs Core I5
 
Single &Multi Core processor
Single &Multi Core processorSingle &Multi Core processor
Single &Multi Core processor
 
Intel core i3, i5, i7 , core2 duo and atom processors
Intel core i3, i5, i7 , core2 duo and atom processorsIntel core i3, i5, i7 , core2 duo and atom processors
Intel core i3, i5, i7 , core2 duo and atom processors
 
Multi core processors i5
Multi core processors i5Multi core processors i5
Multi core processors i5
 
Multi core processors
Multi core processorsMulti core processors
Multi core processors
 
Intel core i7 processors
Intel core i7 processorsIntel core i7 processors
Intel core i7 processors
 
Intel core-i3-processors
Intel core-i3-processorsIntel core-i3-processors
Intel core-i3-processors
 
Intel Microprocessor
Intel MicroprocessorIntel Microprocessor
Intel Microprocessor
 

Similar to Intel i7 Technologies

finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdffinaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
NazarAhmadAlkhidir
 
Processors
ProcessorsProcessors
Processors
mzlnmy
 
Processors
ProcessorsProcessors
Processors
mzlnmy
 

Similar to Intel i7 Technologies (20)

finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdffinaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
finaldraft-intelcorei5processorsarchitecture-130207093535-phpapp01.pdf
 
Intel new processors
Intel new processorsIntel new processors
Intel new processors
 
Intel 8th generation and 7th gen microprocessor full details especially for t...
Intel 8th generation and 7th gen microprocessor full details especially for t...Intel 8th generation and 7th gen microprocessor full details especially for t...
Intel 8th generation and 7th gen microprocessor full details especially for t...
 
Processors
ProcessorsProcessors
Processors
 
Processors
ProcessorsProcessors
Processors
 
Processors
ProcessorsProcessors
Processors
 
Hyper-Theading
Hyper-TheadingHyper-Theading
Hyper-Theading
 
Intel hyper threading presentation
Intel hyper threading presentationIntel hyper threading presentation
Intel hyper threading presentation
 
Hyper threading
Hyper threadingHyper threading
Hyper threading
 
Report on hyperthreading
Report on hyperthreadingReport on hyperthreading
Report on hyperthreading
 
Intel Microarchitecture (Nehalem) and its Applications on Videogames
Intel Microarchitecture (Nehalem) and its Applications on VideogamesIntel Microarchitecture (Nehalem) and its Applications on Videogames
Intel Microarchitecture (Nehalem) and its Applications on Videogames
 
Corei7
Corei7Corei7
Corei7
 
Xeon e5 brief - Israel
Xeon e5 brief - IsraelXeon e5 brief - Israel
Xeon e5 brief - Israel
 
Hyper Threading technology
Hyper Threading technologyHyper Threading technology
Hyper Threading technology
 
Slot29-CH18-MultiCoreComputers-18-slides (1).pptx
Slot29-CH18-MultiCoreComputers-18-slides (1).pptxSlot29-CH18-MultiCoreComputers-18-slides (1).pptx
Slot29-CH18-MultiCoreComputers-18-slides (1).pptx
 
Pentium
PentiumPentium
Pentium
 
Pentium
PentiumPentium
Pentium
 
Hyper threading
Hyper threadingHyper threading
Hyper threading
 
IBM Flex System x440 Compute Node
IBM Flex System x440 Compute NodeIBM Flex System x440 Compute Node
IBM Flex System x440 Compute Node
 
Central Processing Unit
Central Processing Unit Central Processing Unit
Central Processing Unit
 

Recently uploaded

+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 

Recently uploaded (20)

Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptx
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot ModelMcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
Apidays New York 2024 - Passkeys: Developing APIs to enable passwordless auth...
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 

Intel i7 Technologies

  • 1.
  • 2. AGENDA INTRODUCTION TO INTEL® i7 EXTREME PROCESSORS INTEL® QUICKPATH ARCHITECTURE INTEL® SMART CACHE TECHNOLOGY INTEL® HYPER-THREADING TECHNOLOGY INTEL® TURBO-BOOST TECHNOLOGY CONCLUSION REFERENCES
  • 3. INTRODUCTION TO INTEL® i7 EXTREME PROCESSORS What makes these processors fastest, dynamic, intelligent ?? • 64-bit Quad- core processor • Implements the NEHALEM architecture which implements: - Intel® QuickPath Architecture - 8MB Intel® Smart Cache Technology - Intel® HT Technology - Intel® Turbo-Boost Technology - Second Generation Intel® Virtualization Technology - Support for SSE4.2 and SSE4.1 instruction sets
  • 4. Fig-1: Diagram showing technical improvements in the all new Intel® i7 Processors
  • 5. INTEL® QUICKPATH ARCHITECTURE • Earlier, when a Memory Action is performed; control signals followed the following path: Memory Controllers  Processor  Memory Controllers  Main Memory • Small Architectural change which realized significant increase in Memory Accessing Time, i.e. Memory Controller + Processor Intel® QuickPath Architecture features an Integrated Memory Controller and High-Speed Interconnect Bus linking processors and other components to deliver:  Dynamically scalable interconnect bandwidth  Outstanding memory performance and flexibility  Tightly integrated interconnect reliability, availability, and serviceability (RAS)  Optimal balance of price, performance, and energy efficiency.
  • 6. Fig-2: Block Diagram showing the Intel® Quick Path Architecture
  • 7. 8MB INTEL® SMART CACHE TECHNOLOGY (3-LEVEL CACHE HIERARCHY) • Shared L2 cache is not suited to a native quad-core architecture as different cores can too frequently flush data needed by another core. • Therefore in this NEHALAM architecture, each core is provided with a Level 2 cache of its own. Since it’s dedicated to a single core and relatively small (256 KB), enabled it to endow with very high performance; latency. • Then comes the enormous 8MB L3 cache for managing communications between cores. • ADVANTAGE OF CACHE HIERARCHY: If a core tries to access a data item and it’s not present in the Level 3 cache, there’s no need to look in the other cores’ private caches—the data item won’t be there either. • DISADVANTAGE OF CACHE HIERARCHY: Wasting part of the cache memory with data that is already in other cache levels.
  • 8. Fig-3: Diagram representing Three Level Cache Hierarchy
  • 9. Fig-4: Diagram showing Three-Level Cache Hierarchy in a Quad-Core Processor
  • 10. INTEL® HT TECHNOLOGY (HYPER THREADING TECHNOLOGY) • In Hyper-Threading Technology the computer has one physical processor , but OS will see two logical processors and treat the system as if there were actually two processors. • Improves the performance under multi-tasking environments. • Intel HT Technology provides hardware multi-threading capability with a single physical package by using shared execution resources in a processor core. • Architecturally, a processor that supports Intel HT Technology consists of two or more logical processors, each of which has its own architectural state. • Each logical processor consists of a full set of data registers, segment registers, control registers, debug registers, and most of the MSRs. Each also has its own Advanced Programmable Interrupt Controller
  • 11. Fig-5: Comparison of a Processor Supporting Hyper-Threading Technology and a Traditional Dual Processor System
  • 12. Fig-6: Diagrammatic Demonstration of a Two Threaded Task with and without Hyper- Threading Technology
  • 13. Fig-7: Diagram Showing Resource Allocation and Throughput in case of with and without HT Technology
  • 14. INTEL® TURBO BOOST TECHNOLOGY • Activated when OS demands highest performance from the processor by scaling the operating frequency of the processor if it's operating below power, current, and temperature specification limits. • It’s design concept in referred to as Dynamic Clocking. • When just one or two cores are being actively used; whatever power the other two or three cores would have been consumed is redirected to the active cores. • Turbo Boost can increase the frequency of all four cores until they're running as fast as they can for the current workload. • The upper limit of Intel Turbo Boost Technology on a given workload is set by: (i) Number of active cores (ii) Estimated current consumption (iii) Estimated power consumption (iv)Processor temperature
  • 15. Fig-8: Diagram showing the functionality of Turbo Boost Technology
  • 16. Fig-9: Frequency scaling in different cases using Turbo Boost Technology
  • 17. CONCLUSION Today's processor are expected to provide higher performance. That’s what is exactly provided by the INTEL® i7 processors. Being the first of its kind, the INTEL® i7 processor has continuously got good rating from all kind of users. All the new technologies implemented in INTEL® i7 processors will surely lead to a great computing experience.
  • 18. REFERENCES [1]Intel i7 Developer’s Manual [2]http://www.intel.com/content/www/us/en/processors/core/core-i7- processor.html [3]http://reviews.cnet.com/processors/intel-core-i7-965/4505-3086_7- 33366836.html [4]http://www.intel.com/technology/product/demos/turboboost/demo.htm? iid=tech_demo+tb [5]http://www.youtube.com/watch?v=llOXMPXH2VA [6]http://www.youtube.com/watch?v=kkrqyEpINSQ [7]http://www.intel.com/content/www/us/en/io/quickpath- technology/performance-quickpath-architecture-paper.html [8]http://www.youtube.com/watch?v=bE9EbQOeb_U [9]http://www.youtube.com/watch?v=An7w0laRGv8