SlideShare a Scribd company logo
1 of 29
CHEMICAL VAPOR DEPOSITION
(CVD)
Aditya Bhardwaj
INTRODUCTION
CVD is a thin film deposition method that uses chemical
reactions to deposit high quality layers of desired material.
Vapor acronym in CVD implies that the sources used in
deposition method are in gaseous phase, in this technique solid
material is deposited from a vapor by a chemical reaction
occuring on or in the vicinity of a heated substrate surface.
It is used in microelectronics industry, to make films serving as
dielectrics, conductors, passivation layers, oxidation barriers,
used in preparation of materials such as tungsten, ceramics,
production of solar cells, fiber composites.
WHY CVD IS REQUIRED
• Physical deposition methods such as sputtering eject very small pieces of target
material and deposit these small pieces on the surface of the wafer. Since the
shapes of these pieces are random there are voids between these pieces and they
form pores which reduce film quality. In case of CVD, materials are deposited
through chemical reaction and this reaction happens on the deposition surface
therefore it is less likely to have pores in a CVD film hence its quality is higher
than a sputtered film.
DIFFERENCE BETWEEN CVD AND PVD
CVD PVD
• Material introduced in gaseous form • Material introduced in solid form
• High temperature processing, limits flexibility,
causes high stress
• Low temperature processing, increases
flexibility, reduces stress
•Higher quality and purity of films formed • Low quality of films formed
• Useful for depositing compound protective
coatings
• Useful for coating tools for applications which
demand tough cutting edge
MAIN STEPS IN CVD
1. Transport of reactants by forced convection to the
deposition region.
2. Transport of reactants by diffusion from the main gas
stream through the boundary layer to wafer surface.
3. Adsorption of reactants on the wafer surface.
4. Surface processes, including chemical decomposition or
reaction, surface migration to attachment sites (such as
atomic-level ledges and kinks), site incorporation, and
other surface reactions.
5. Desorption of byproducts from the surface.
6. Transport of byproducts by diffusion through the
boundary layer and back to the main gas stream.
7. Transport of byproducts by forced convection away from
the deposition region.
Ref: Plummer, J. D., M. D. Deal, and P. B. Griffin, Silicon VLSI technology 2000.
VARIOUS CHEMICAL REACTIONS IN CVD
Pyrolysis : SiH4(g) Si(s) + 2H2(g) at 650°C
Reduction : SiCl4(g) + 2H2(g) Si(s) + 4HCl(g) at 1200°C
Oxidation: SiH4(g) + O2(g) SiO2(g) + 2H2(g) at 450°C
Exchange reaction: SiCl4(g) + CH4(g) SiC (s) + 4HCl (g) at 1400°C
Disproportionation: 2GeI2 g) Ge(s) + GeI4(g) at 300°C
Coupled reaction: As4(g) + As2(g) + 6GaCl(g) + 3H2(g) 6GaAs(g)+
6HCl(g) at 750°C
Ref: Cao, Guozhong. Nanostructures & nanomaterials: synthesis, properties & applications. Imperial college press, 2004.
CLASSIFICATION OF CVD BASED ON
OPERATING PRESSURE
Atmospheric pressure CVD (APCVD) – CVD at atmospheric
pressure.
Low-pressure CVD (LPCVD) – CVD at sub-atmospheric
pressures. Reduced pressures tend to reduce unwanted gas-
phase reactions and improve film uniformity across the
wafer.
Ultrahigh vacuum CVD (UHVCVD) – CVD at very low
pressure, typically below 10−6 Pa (~10−8 torr).
CVD SYSTEMS BASED ON PHYSICAL
CHARACTERISTICS OF VAPOR
Aerosol assisted CVD (AACVD)
• The precursors are transported to the
substrate by means of a ultrasonically
generated liquid/gas aerosol.
• This technique is suitable for use with non-
volatile precursors.
Direct liquid injection CVD (DLICVD)
• The precursors are in liquid form (liquid or
solid dissolved in a convenient solvent).
• Liquid solutions are injected in a vaporization
chamber towards injectors (typically car
injectors).
• The precursor vapors are then transported to
the substrate as in classical CVD.
• This technique is suitable for use on liquid or
solid precursors. High growth rates can be
reached using this technique.
COMMON CVD SYSTEMS
APCVD (Atmospheric pressure CVD)
LPCVD (Low pressure CVD)
PECVD (Plasma enhanced CVD)
MOCVD (Metal organic CVD or Organometallic Vapor Phase
Epitaxy)
ATMOSPHERIC PRESSURE CVD (APCVD)
Quartz
reaction
chamber
RF Induction (Heating
Coils)
HC
l
H2
Vent
Silicon wafer Graphite
Susceptor
• High Temperature APCVD: used to deposit epitaxial Si and
compound films or hard metallurgical coatings like TiC and TiN.
• Low Temperature APCVD: used to deposit insulating layers on
substrate at low temperature.
TILTED CVD SUSCEPTOR
The Susceptor in a horizontal epitaxial reactor is tilted so that the
cross-sectional area of the chamber is decreased, increasing the gas
velocity along the Susceptor. This compensates for both the
boundary layer and depletion effects.
**** Susceptor is a material used for its ability to absorb
electromagnetic energy and convert into heat This energy is
typically radiofrequency or microwave radiation used in industrial
heating processes, and also occasionally in microwave cooking.
APCVD ISSUES
There are two types of non-uniformities in APCVD caused by gas flow
vortexes: (i) In wafer (ii) Wafer to Wafer
Gas flow causes vortexes at edges of wafers which causes edges to have
different thickness than centre.
Wafers in beginning of tube consume process gases, hence gas
concentration falls down towards end of the tube, which results in slower
deposition rate, hence wafer to wafer non-uniformity.
We can remove this uniformity by help of temperature gradient,
increasing temperature towards end of tube would equalize deposition
rates and reduce non-uniformity. This results in use of Induction coil or
three-zone furnaces for CVD systems.
LOW PRESSURE CVD (LPCVD)
• LPCVD is most successfully applied in deposition of polysilicon thin films. These
films are used for gate contact and short interconnect lines. This is done using
compounds like SiH4 in the temperature range 600- 660°C.
• When the pressure is lowered during LPCVD, the diffusion of the gas
decreases proportionally to the reciprocal of the pressure. If the pressure
is lowered from atmospheric pressure to about 100 Pa the diffusion will
decrease by almost 1000.This means that the velocity of mass transport
will decrease meaning the substrates can approach more closely and the
deposited films show better uniformity and homogeneity.
LPCVD PROCESS
• LPCVD has quartz tube placed in a spiral heater that starts with tube pressure at
0.1 Pa. The tube is then heated to desired temperature and gaseous species
(working gas) are inserted into tube at pressure 10-1000 Pa. The working gas
consists of dilution gas and reactive gas that reacts with substrate and create a solid
phase material on substrate. After working gas enters the tube, it spreads around
hot substrates, that are at same temperature, substrate temperature tells which
reactions will take place. A solid phase material is formed on substrate and excess
material is pumped out of tube.
3 Zone Furnace
Pressure sensor
Samples
Gas inlet Quartz Tube
Pump
ADVANTAGES AND DISADVANTAGES OF LPCVD
Advantages
• Excellent uniformity of thickness and purity of thin film formed.
• Simple handling
• High reliability
• High reproducibility
• Higher batch size
Disadvantages
• Lower deposition rate
• High temperature above 600°C is required
• By products formed may be harmful
• Low film density
THERMAL CVD
• Heat energy is supplied to activate the gas phase reactions between
reactive gases and the substrate, temperature as high as 2000 degree
celsius is required for film deposition.
• Two reactors are used for Thermal CVD process:
• Hot wall reactor
• Cold wall reactor
• A hot wall reactor is usually tubular in form, it is an isothermal
surface into which the substrates are placed. Since the whole chamber
is heated, precise temperature control can be achieved by designing
the furnace accordingly.
• In typical cold-wall CVD reactors, substrates are directly
heated inductively by graphite susceptors, while chamber
walls are air or water-cooled.
• Even though in hot wall reactors, we have to clean the
substrate after each deposition process, as whole substrate
is heated, but due to its high throughput and multiple
wafer deposition, it is frequently used.
• The contamination of wafer significantly reduces in cold
wall reactors.
PRESSURE ENHANCED CVD (PECVD)
PECVD is a fabrication method used for depositing thin films of SiO2, Si3N4, (SixNy), SixOyNz
and amorphous Si films on a wafer.
Plasma is added in the deposition chamber with reactive gases to create the desired solid
surface on the substrate.
Plasma is a partially ionized gas with high free electron content (about 50%).
Plasmas are divided into two groups; cold (also called non-thermal) and thermal.
In thermal plasmas, electrons and particles in the gas are at the same temperature; however,
In cold plasmas the electrons have a much higher temperature than the neutral particles and
ions. Therefore, cold plasmas can utilize the energy of the electrons by changing just the
pressure. This allows a PECVD system to operate at low temperatures (b/w 100 and 400°C).
PLASMA
ELECTRODE
ELECTRODE
WAFER
HEATER
RF POWER INPUT
GAS OUTLET
GAS INLET
• PECVD systems must contain two electrodes (in a parallel plate
configuration), plasma gas, and reactive gas in a chamber. To begin the
PECVD process, a wafer is placed on the bottom electrode and reactive
gas with the deposition elements is introduced into the chamber. Plasma is
then introduced into the chamber between the two electrodes, and voltage
is applied to excite the plasma (RF voltage is applied). The excited state
plasma then bombards the reactive gas causing dissociation. This
dissociation deposits the desired element onto the wafer.
ADVANTAGES AND DISADVANTAGES OF PECVD
Advantages
• Low temperature
• Higher film density
• Ease of cleaning the chamber
Disadvantages
• Expensive equipment
• Films formed are not stoichiometric
• Batch size is small
• By products released are volatile due to plasma bombardment
METAL ORGANIC CVD (MOCVD)
• MOCVD or Organometallic Vapor Phase Epitaxy (OMVPE), is a
CVD method used to produce single or polycrystalline thin films.
• It is a highly complex process for growing crystalline layers to create
complex semiconductor multilayer structures.
• The growth of crystals is by chemical reaction under the gaseous
environment at moderate pressures (10 to 760 Torr). As such, this
technique is preferred for the formation of devices incorporating
thermodynamically metastable alloys, and it has become a major
process in the manufacture of optoelectronics.
• In this technique metal organic compounds such as trimethyl-gallium
(TmGa), trimethyl-indium (TmIn) are used as precursors, which are
volatile at moderately low temperatures, due to which there is better
control on gas flow rate and better deposition of film is possible
BASIC PRINCIPLES OF MOCVD
 Ultra pure gases are injected into a reactor. Surface reaction of
organic compounds or metal organics and hydrides containing the
required chemical elements creates conditions for crystalline growth.
 The semiconductors may contain combinations of Group
III and Group V, Group II and Group VI, Group IV, or Group IV, V
and VI elements. For example, indium phosphide (InP) could be
grown in a reactor on a heated substrate by introducing trimethyl
indium ((CH3)3In) and phosphine (PH3) in a first step. The heated
organic precursor molecules decompose in the absence of oxygen
(pyrolysis).
 Pyrolysis leaves the atoms on the substrate surface in the second
step. The atoms bond to the substrate surface and a new crystalline
layer is grown in the last step.
ILLUSTRATION OF MOCVD PROCESS AND MOCVD
APPARATUS
MOCVD REACTOR COMPONENTS
Reactor chamber is made of a material that does not react with the chemicals being used.
It must also withstand high temperatures.
This chamber is composed by reactor walls, liner, a susceptor, gas injection units, and
temperature control units.
The reactor walls are made from stainless steel or quartz. Ceramic or quartz, are often
used as the liner in the reactor chamber between the reactor wall and the susceptor. To
prevent overheating, cooling water must flow through the channels within the reactor
walls. A substrate sits on a susceptor which is at a controlled temperature.
The susceptor is made from a material resistant to the metal organic compounds
used; graphite is sometimes used. For growing nitrides and related materials, a special
coating on the graphite susceptor is necessary to prevent corrosion by ammonia (NH3)
gas.
• In a cold-wall (CW) reactor, the substrate is supported by a pedestal,
which also acts as a susceptor.
• The pedestal/susceptor is the primary origin of heat energy in the
reaction chamber. It is made of a radiation-absorbing material such
as carbon.
• The walls of the reaction chamber in a cold-wall reactor are typically
made of quartz which is largely transparent to the electromagnetic
radiation. The reaction chamber walls in a cold-wall reactor are
indirectly heated by heat radiating from the hot pedestal/susceptor,
but will remain cooler than the pedestal/susceptor.
• In contrast to CW Reactor, hot-wall CVD, the entire chamber is
heated. This may be necessary for some gases to be pre-cracked
before reaching the wafer surface to allow them to stick to the wafer.
• Gas is introduced via devices known as 'bubblers'. In a bubbler a carrier
gas (usually hydrogen in arsenide & phosphide growth or nitrogen for
nitride growth) is bubbled through the metal organic liquid, which picks
up some metal organic vapor and transports it to the reactor. The amount
of metal organic vapor transported depends on the rate of carrier gas flow
and the bubbler temperature, and is usually controlled automatically and
most accurately by using an ultrasonic concentration measuring feedback
gas control system. Allowance must be made for saturated vapors.
• Pressure maintenance system and gas exhaust systems are also present.
• Toxic waste products must be converted to liquid or solid wastes for
recycling (preferably) or disposal.
LASER ASSISTED CVD (LCVD)
Laser has also been employed to enhance or assist the chemical reactions or deposition.
Two mechanisms are involved: Pyrolytic and Photolytic process
In the Pyrolytic process, the laser heats the substrate to decompose gases above it and enhances
rates of chemical reactions, substrates which melt above temperature necessary for gas
deposition are required.
In the photolytic process, laser photons are used to directly dissociate the precursor molecules in
the gas phase. UV light sources are required because many useful parent molecules (SiH4 , Si2H6
, Si3H8 ) require absorption of photons with wavelengths less than 220 nm to initiate dissociation
reactions.
Metals such as Al, Au, Cr, Cu, Ni, Pt and W have been deposited by laser.
• Laser CVD is associated with the deposition of
chemical vapors using a laser beam.
• It is generated from sources like CO 2 , Nd:YAG and
Excimer.
• We can produce quality films at lower
temperatures
with better control on composition.
• For example, a silicon nitride film could be
deposited at 200°C using laser CVD, whereas it is
deposited at 850°C and 450°C by thermal CVD and
plasma-enhanced CVD, respectively.
• In the case of nanoparticles, tungsten powder of 54
nm could be synthesized from WF6/H2/M (M = Ar,
Kr, Ne, Xe) gas mixtures irradiated with an ArF
Excimer laser.
THANK YOU

More Related Content

What's hot

Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Tapan Patel
 
Epitaxial Crystal Growth: Methods & Analysis
Epitaxial Crystal Growth: Methods & Analysis Epitaxial Crystal Growth: Methods & Analysis
Epitaxial Crystal Growth: Methods & Analysis KaŃnán RãjËev
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication pptAvinash Jadhav
 
Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)jitendrahemwani
 
Pulse laser deposition of thin film (PLD)
Pulse laser deposition of thin film (PLD)Pulse laser deposition of thin film (PLD)
Pulse laser deposition of thin film (PLD)UOG PHYSICISTS !!!!!
 
Pulsed laser deposition
Pulsed laser depositionPulsed laser deposition
Pulsed laser depositionOleg Maksimov
 
Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Adnan Majeed
 
Vacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device ProcessingVacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device Processingcdtpv
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Depositionjitendrahemwani
 
Molecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETMolecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETA. S. M. Jannatul Islam
 
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETMETAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETA. S. M. Jannatul Islam
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour DepositionViji Vijitha
 
Sputtering process and its types
Sputtering process and its typesSputtering process and its types
Sputtering process and its typesMuhammadWajid37
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreyaShreya Modi
 

What's hot (20)

Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.Chemical Vaour Deposition & Physical Vapour Deposition techniques.
Chemical Vaour Deposition & Physical Vapour Deposition techniques.
 
Epitaxial Crystal Growth: Methods & Analysis
Epitaxial Crystal Growth: Methods & Analysis Epitaxial Crystal Growth: Methods & Analysis
Epitaxial Crystal Growth: Methods & Analysis
 
Lithography fabrication ppt
Lithography fabrication pptLithography fabrication ppt
Lithography fabrication ppt
 
Lithography 7.10.2020
Lithography 7.10.2020Lithography 7.10.2020
Lithography 7.10.2020
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Atomic layer Deposition _Mukhtar Hussain awan
Atomic layer Deposition _Mukhtar Hussain awanAtomic layer Deposition _Mukhtar Hussain awan
Atomic layer Deposition _Mukhtar Hussain awan
 
Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)Physical Vapour Deposition (PVD)
Physical Vapour Deposition (PVD)
 
Pulse laser deposition of thin film (PLD)
Pulse laser deposition of thin film (PLD)Pulse laser deposition of thin film (PLD)
Pulse laser deposition of thin film (PLD)
 
Pulsed laser deposition
Pulsed laser depositionPulsed laser deposition
Pulsed laser deposition
 
Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589Chemical vapor deposition and its types 120589
Chemical vapor deposition and its types 120589
 
Vacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device ProcessingVacuum Science and Technology for Thin Film Device Processing
Vacuum Science and Technology for Thin Film Device Processing
 
Cvd and pvd
Cvd and pvdCvd and pvd
Cvd and pvd
 
Physical vapor deposition
Physical vapor depositionPhysical vapor deposition
Physical vapor deposition
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Thin films
Thin films Thin films
Thin films
 
Molecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUETMolecular Beam Epitaxy-MBE---ABU SYED KUET
Molecular Beam Epitaxy-MBE---ABU SYED KUET
 
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUETMETAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
METAL ORGANIC CHEMICAL VAPOR DEPOSITION- MOCVD--ABU SYED KUET
 
Chemical Vapour Deposition
Chemical Vapour DepositionChemical Vapour Deposition
Chemical Vapour Deposition
 
Sputtering process and its types
Sputtering process and its typesSputtering process and its types
Sputtering process and its types
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreya
 

Similar to CVD.pptx

Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthkriticka sharma
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour depositionSethu Ram
 
Low Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionLow Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionSudhanshu Janwadkar
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreyaShreya Modi
 
chemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxchemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxssuserdcfc6d
 
Chemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdfChemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdfSanDeepSharma926061
 
epitaxial growth in thin films.pdf
epitaxial growth in thin films.pdfepitaxial growth in thin films.pdf
epitaxial growth in thin films.pdfNarsimhacharyDamanap
 
6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptx6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptxShamimAkhter52
 
Thinfilms and nanomaterials_Modified October 2020.pptx
Thinfilms and nanomaterials_Modified October 2020.pptxThinfilms and nanomaterials_Modified October 2020.pptx
Thinfilms and nanomaterials_Modified October 2020.pptxhappycocoman
 
CdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellCdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellZahra Behboodi
 
Electronic Devices - Integrated Circuit.pdf
Electronic Devices - Integrated Circuit.pdfElectronic Devices - Integrated Circuit.pdf
Electronic Devices - Integrated Circuit.pdfbooksarpita
 

Similar to CVD.pptx (20)

Ic technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growthIc technology- chemical vapour deposition and epitaxial layer growth
Ic technology- chemical vapour deposition and epitaxial layer growth
 
Chemical vapour deposition
Chemical vapour depositionChemical vapour deposition
Chemical vapour deposition
 
Ed_ppt .pdf
Ed_ppt .pdfEd_ppt .pdf
Ed_ppt .pdf
 
Low Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour DepositionLow Pressure Chemical Vapour Deposition
Low Pressure Chemical Vapour Deposition
 
Cvd & pvd by shreya
Cvd & pvd by shreyaCvd & pvd by shreya
Cvd & pvd by shreya
 
THIN FILMS.pdf
THIN FILMS.pdfTHIN FILMS.pdf
THIN FILMS.pdf
 
By final
By finalBy final
By final
 
By final
By finalBy final
By final
 
chemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptxchemicalvapourdeposition-120430095030-phpapp02.pptx
chemicalvapourdeposition-120430095030-phpapp02.pptx
 
Chemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdfChemical Vapour Deposition (CVD).pdf
Chemical Vapour Deposition (CVD).pdf
 
epitaxial growth in thin films.pdf
epitaxial growth in thin films.pdfepitaxial growth in thin films.pdf
epitaxial growth in thin films.pdf
 
PVD,CVD,AFM.ppt
PVD,CVD,AFM.pptPVD,CVD,AFM.ppt
PVD,CVD,AFM.ppt
 
Nano materials
Nano materialsNano materials
Nano materials
 
Fabrication process flow
Fabrication process flowFabrication process flow
Fabrication process flow
 
ect 292 nanoelectronics
ect 292  nanoelectronicsect 292  nanoelectronics
ect 292 nanoelectronics
 
6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptx6_2020_04_11!06_48_32_AM.pptx
6_2020_04_11!06_48_32_AM.pptx
 
Thinfilms and nanomaterials_Modified October 2020.pptx
Thinfilms and nanomaterials_Modified October 2020.pptxThinfilms and nanomaterials_Modified October 2020.pptx
Thinfilms and nanomaterials_Modified October 2020.pptx
 
CdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar CellCdTe-CdS thin film in Solar Cell
CdTe-CdS thin film in Solar Cell
 
Electronic Devices - Integrated Circuit.pdf
Electronic Devices - Integrated Circuit.pdfElectronic Devices - Integrated Circuit.pdf
Electronic Devices - Integrated Circuit.pdf
 
Subrat cvd
Subrat cvdSubrat cvd
Subrat cvd
 

More from Aditya Bhardwaj

solarcell-piezoandthermoelectricity.pptx
solarcell-piezoandthermoelectricity.pptxsolarcell-piezoandthermoelectricity.pptx
solarcell-piezoandthermoelectricity.pptxAditya Bhardwaj
 
sizedependentproperties.pptx
sizedependentproperties.pptxsizedependentproperties.pptx
sizedependentproperties.pptxAditya Bhardwaj
 
nanocompositesmetalandmetaloxidenps.pptx
nanocompositesmetalandmetaloxidenps.pptxnanocompositesmetalandmetaloxidenps.pptx
nanocompositesmetalandmetaloxidenps.pptxAditya Bhardwaj
 
ballmillinggrainsizeandmechanicalattrition.pptx
ballmillinggrainsizeandmechanicalattrition.pptxballmillinggrainsizeandmechanicalattrition.pptx
ballmillinggrainsizeandmechanicalattrition.pptxAditya Bhardwaj
 

More from Aditya Bhardwaj (9)

solarcell-piezoandthermoelectricity.pptx
solarcell-piezoandthermoelectricity.pptxsolarcell-piezoandthermoelectricity.pptx
solarcell-piezoandthermoelectricity.pptx
 
Zone melting.pptx
Zone melting.pptxZone melting.pptx
Zone melting.pptx
 
magnetic nps.pptx
magnetic nps.pptxmagnetic nps.pptx
magnetic nps.pptx
 
sizedependentproperties.pptx
sizedependentproperties.pptxsizedependentproperties.pptx
sizedependentproperties.pptx
 
cntsasfuelcells.pptx
cntsasfuelcells.pptxcntsasfuelcells.pptx
cntsasfuelcells.pptx
 
nanocompositesmetalandmetaloxidenps.pptx
nanocompositesmetalandmetaloxidenps.pptxnanocompositesmetalandmetaloxidenps.pptx
nanocompositesmetalandmetaloxidenps.pptx
 
ballmillinggrainsizeandmechanicalattrition.pptx
ballmillinggrainsizeandmechanicalattrition.pptxballmillinggrainsizeandmechanicalattrition.pptx
ballmillinggrainsizeandmechanicalattrition.pptx
 
Photonic crystals.pptx
Photonic crystals.pptxPhotonic crystals.pptx
Photonic crystals.pptx
 
nanocomposites.pptx
nanocomposites.pptxnanocomposites.pptx
nanocomposites.pptx
 

Recently uploaded

Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTING
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTINGMANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTING
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTINGSIVASHANKAR N
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxfenichawla
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdfKamal Acharya
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 

Recently uploaded (20)

Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTING
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTINGMANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTING
MANUFACTURING PROCESS-II UNIT-1 THEORY OF METAL CUTTING
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptxBSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
BSides Seattle 2024 - Stopping Ethan Hunt From Taking Your Data.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 

CVD.pptx

  • 2. INTRODUCTION CVD is a thin film deposition method that uses chemical reactions to deposit high quality layers of desired material. Vapor acronym in CVD implies that the sources used in deposition method are in gaseous phase, in this technique solid material is deposited from a vapor by a chemical reaction occuring on or in the vicinity of a heated substrate surface. It is used in microelectronics industry, to make films serving as dielectrics, conductors, passivation layers, oxidation barriers, used in preparation of materials such as tungsten, ceramics, production of solar cells, fiber composites.
  • 3. WHY CVD IS REQUIRED • Physical deposition methods such as sputtering eject very small pieces of target material and deposit these small pieces on the surface of the wafer. Since the shapes of these pieces are random there are voids between these pieces and they form pores which reduce film quality. In case of CVD, materials are deposited through chemical reaction and this reaction happens on the deposition surface therefore it is less likely to have pores in a CVD film hence its quality is higher than a sputtered film.
  • 4. DIFFERENCE BETWEEN CVD AND PVD CVD PVD • Material introduced in gaseous form • Material introduced in solid form • High temperature processing, limits flexibility, causes high stress • Low temperature processing, increases flexibility, reduces stress •Higher quality and purity of films formed • Low quality of films formed • Useful for depositing compound protective coatings • Useful for coating tools for applications which demand tough cutting edge
  • 5. MAIN STEPS IN CVD 1. Transport of reactants by forced convection to the deposition region. 2. Transport of reactants by diffusion from the main gas stream through the boundary layer to wafer surface. 3. Adsorption of reactants on the wafer surface. 4. Surface processes, including chemical decomposition or reaction, surface migration to attachment sites (such as atomic-level ledges and kinks), site incorporation, and other surface reactions. 5. Desorption of byproducts from the surface. 6. Transport of byproducts by diffusion through the boundary layer and back to the main gas stream. 7. Transport of byproducts by forced convection away from the deposition region. Ref: Plummer, J. D., M. D. Deal, and P. B. Griffin, Silicon VLSI technology 2000.
  • 6. VARIOUS CHEMICAL REACTIONS IN CVD Pyrolysis : SiH4(g) Si(s) + 2H2(g) at 650°C Reduction : SiCl4(g) + 2H2(g) Si(s) + 4HCl(g) at 1200°C Oxidation: SiH4(g) + O2(g) SiO2(g) + 2H2(g) at 450°C Exchange reaction: SiCl4(g) + CH4(g) SiC (s) + 4HCl (g) at 1400°C Disproportionation: 2GeI2 g) Ge(s) + GeI4(g) at 300°C Coupled reaction: As4(g) + As2(g) + 6GaCl(g) + 3H2(g) 6GaAs(g)+ 6HCl(g) at 750°C Ref: Cao, Guozhong. Nanostructures & nanomaterials: synthesis, properties & applications. Imperial college press, 2004.
  • 7. CLASSIFICATION OF CVD BASED ON OPERATING PRESSURE Atmospheric pressure CVD (APCVD) – CVD at atmospheric pressure. Low-pressure CVD (LPCVD) – CVD at sub-atmospheric pressures. Reduced pressures tend to reduce unwanted gas- phase reactions and improve film uniformity across the wafer. Ultrahigh vacuum CVD (UHVCVD) – CVD at very low pressure, typically below 10−6 Pa (~10−8 torr).
  • 8. CVD SYSTEMS BASED ON PHYSICAL CHARACTERISTICS OF VAPOR Aerosol assisted CVD (AACVD) • The precursors are transported to the substrate by means of a ultrasonically generated liquid/gas aerosol. • This technique is suitable for use with non- volatile precursors. Direct liquid injection CVD (DLICVD) • The precursors are in liquid form (liquid or solid dissolved in a convenient solvent). • Liquid solutions are injected in a vaporization chamber towards injectors (typically car injectors). • The precursor vapors are then transported to the substrate as in classical CVD. • This technique is suitable for use on liquid or solid precursors. High growth rates can be reached using this technique.
  • 9. COMMON CVD SYSTEMS APCVD (Atmospheric pressure CVD) LPCVD (Low pressure CVD) PECVD (Plasma enhanced CVD) MOCVD (Metal organic CVD or Organometallic Vapor Phase Epitaxy)
  • 10. ATMOSPHERIC PRESSURE CVD (APCVD) Quartz reaction chamber RF Induction (Heating Coils) HC l H2 Vent Silicon wafer Graphite Susceptor • High Temperature APCVD: used to deposit epitaxial Si and compound films or hard metallurgical coatings like TiC and TiN. • Low Temperature APCVD: used to deposit insulating layers on substrate at low temperature.
  • 11. TILTED CVD SUSCEPTOR The Susceptor in a horizontal epitaxial reactor is tilted so that the cross-sectional area of the chamber is decreased, increasing the gas velocity along the Susceptor. This compensates for both the boundary layer and depletion effects. **** Susceptor is a material used for its ability to absorb electromagnetic energy and convert into heat This energy is typically radiofrequency or microwave radiation used in industrial heating processes, and also occasionally in microwave cooking.
  • 12. APCVD ISSUES There are two types of non-uniformities in APCVD caused by gas flow vortexes: (i) In wafer (ii) Wafer to Wafer Gas flow causes vortexes at edges of wafers which causes edges to have different thickness than centre. Wafers in beginning of tube consume process gases, hence gas concentration falls down towards end of the tube, which results in slower deposition rate, hence wafer to wafer non-uniformity. We can remove this uniformity by help of temperature gradient, increasing temperature towards end of tube would equalize deposition rates and reduce non-uniformity. This results in use of Induction coil or three-zone furnaces for CVD systems.
  • 13. LOW PRESSURE CVD (LPCVD) • LPCVD is most successfully applied in deposition of polysilicon thin films. These films are used for gate contact and short interconnect lines. This is done using compounds like SiH4 in the temperature range 600- 660°C. • When the pressure is lowered during LPCVD, the diffusion of the gas decreases proportionally to the reciprocal of the pressure. If the pressure is lowered from atmospheric pressure to about 100 Pa the diffusion will decrease by almost 1000.This means that the velocity of mass transport will decrease meaning the substrates can approach more closely and the deposited films show better uniformity and homogeneity.
  • 14. LPCVD PROCESS • LPCVD has quartz tube placed in a spiral heater that starts with tube pressure at 0.1 Pa. The tube is then heated to desired temperature and gaseous species (working gas) are inserted into tube at pressure 10-1000 Pa. The working gas consists of dilution gas and reactive gas that reacts with substrate and create a solid phase material on substrate. After working gas enters the tube, it spreads around hot substrates, that are at same temperature, substrate temperature tells which reactions will take place. A solid phase material is formed on substrate and excess material is pumped out of tube. 3 Zone Furnace Pressure sensor Samples Gas inlet Quartz Tube Pump
  • 15. ADVANTAGES AND DISADVANTAGES OF LPCVD Advantages • Excellent uniformity of thickness and purity of thin film formed. • Simple handling • High reliability • High reproducibility • Higher batch size Disadvantages • Lower deposition rate • High temperature above 600°C is required • By products formed may be harmful • Low film density
  • 16. THERMAL CVD • Heat energy is supplied to activate the gas phase reactions between reactive gases and the substrate, temperature as high as 2000 degree celsius is required for film deposition. • Two reactors are used for Thermal CVD process: • Hot wall reactor • Cold wall reactor • A hot wall reactor is usually tubular in form, it is an isothermal surface into which the substrates are placed. Since the whole chamber is heated, precise temperature control can be achieved by designing the furnace accordingly.
  • 17. • In typical cold-wall CVD reactors, substrates are directly heated inductively by graphite susceptors, while chamber walls are air or water-cooled. • Even though in hot wall reactors, we have to clean the substrate after each deposition process, as whole substrate is heated, but due to its high throughput and multiple wafer deposition, it is frequently used. • The contamination of wafer significantly reduces in cold wall reactors.
  • 18. PRESSURE ENHANCED CVD (PECVD) PECVD is a fabrication method used for depositing thin films of SiO2, Si3N4, (SixNy), SixOyNz and amorphous Si films on a wafer. Plasma is added in the deposition chamber with reactive gases to create the desired solid surface on the substrate. Plasma is a partially ionized gas with high free electron content (about 50%). Plasmas are divided into two groups; cold (also called non-thermal) and thermal. In thermal plasmas, electrons and particles in the gas are at the same temperature; however, In cold plasmas the electrons have a much higher temperature than the neutral particles and ions. Therefore, cold plasmas can utilize the energy of the electrons by changing just the pressure. This allows a PECVD system to operate at low temperatures (b/w 100 and 400°C).
  • 19. PLASMA ELECTRODE ELECTRODE WAFER HEATER RF POWER INPUT GAS OUTLET GAS INLET • PECVD systems must contain two electrodes (in a parallel plate configuration), plasma gas, and reactive gas in a chamber. To begin the PECVD process, a wafer is placed on the bottom electrode and reactive gas with the deposition elements is introduced into the chamber. Plasma is then introduced into the chamber between the two electrodes, and voltage is applied to excite the plasma (RF voltage is applied). The excited state plasma then bombards the reactive gas causing dissociation. This dissociation deposits the desired element onto the wafer.
  • 20. ADVANTAGES AND DISADVANTAGES OF PECVD Advantages • Low temperature • Higher film density • Ease of cleaning the chamber Disadvantages • Expensive equipment • Films formed are not stoichiometric • Batch size is small • By products released are volatile due to plasma bombardment
  • 21. METAL ORGANIC CVD (MOCVD) • MOCVD or Organometallic Vapor Phase Epitaxy (OMVPE), is a CVD method used to produce single or polycrystalline thin films. • It is a highly complex process for growing crystalline layers to create complex semiconductor multilayer structures. • The growth of crystals is by chemical reaction under the gaseous environment at moderate pressures (10 to 760 Torr). As such, this technique is preferred for the formation of devices incorporating thermodynamically metastable alloys, and it has become a major process in the manufacture of optoelectronics. • In this technique metal organic compounds such as trimethyl-gallium (TmGa), trimethyl-indium (TmIn) are used as precursors, which are volatile at moderately low temperatures, due to which there is better control on gas flow rate and better deposition of film is possible
  • 22. BASIC PRINCIPLES OF MOCVD  Ultra pure gases are injected into a reactor. Surface reaction of organic compounds or metal organics and hydrides containing the required chemical elements creates conditions for crystalline growth.  The semiconductors may contain combinations of Group III and Group V, Group II and Group VI, Group IV, or Group IV, V and VI elements. For example, indium phosphide (InP) could be grown in a reactor on a heated substrate by introducing trimethyl indium ((CH3)3In) and phosphine (PH3) in a first step. The heated organic precursor molecules decompose in the absence of oxygen (pyrolysis).  Pyrolysis leaves the atoms on the substrate surface in the second step. The atoms bond to the substrate surface and a new crystalline layer is grown in the last step.
  • 23. ILLUSTRATION OF MOCVD PROCESS AND MOCVD APPARATUS
  • 24. MOCVD REACTOR COMPONENTS Reactor chamber is made of a material that does not react with the chemicals being used. It must also withstand high temperatures. This chamber is composed by reactor walls, liner, a susceptor, gas injection units, and temperature control units. The reactor walls are made from stainless steel or quartz. Ceramic or quartz, are often used as the liner in the reactor chamber between the reactor wall and the susceptor. To prevent overheating, cooling water must flow through the channels within the reactor walls. A substrate sits on a susceptor which is at a controlled temperature. The susceptor is made from a material resistant to the metal organic compounds used; graphite is sometimes used. For growing nitrides and related materials, a special coating on the graphite susceptor is necessary to prevent corrosion by ammonia (NH3) gas.
  • 25. • In a cold-wall (CW) reactor, the substrate is supported by a pedestal, which also acts as a susceptor. • The pedestal/susceptor is the primary origin of heat energy in the reaction chamber. It is made of a radiation-absorbing material such as carbon. • The walls of the reaction chamber in a cold-wall reactor are typically made of quartz which is largely transparent to the electromagnetic radiation. The reaction chamber walls in a cold-wall reactor are indirectly heated by heat radiating from the hot pedestal/susceptor, but will remain cooler than the pedestal/susceptor. • In contrast to CW Reactor, hot-wall CVD, the entire chamber is heated. This may be necessary for some gases to be pre-cracked before reaching the wafer surface to allow them to stick to the wafer.
  • 26. • Gas is introduced via devices known as 'bubblers'. In a bubbler a carrier gas (usually hydrogen in arsenide & phosphide growth or nitrogen for nitride growth) is bubbled through the metal organic liquid, which picks up some metal organic vapor and transports it to the reactor. The amount of metal organic vapor transported depends on the rate of carrier gas flow and the bubbler temperature, and is usually controlled automatically and most accurately by using an ultrasonic concentration measuring feedback gas control system. Allowance must be made for saturated vapors. • Pressure maintenance system and gas exhaust systems are also present. • Toxic waste products must be converted to liquid or solid wastes for recycling (preferably) or disposal.
  • 27. LASER ASSISTED CVD (LCVD) Laser has also been employed to enhance or assist the chemical reactions or deposition. Two mechanisms are involved: Pyrolytic and Photolytic process In the Pyrolytic process, the laser heats the substrate to decompose gases above it and enhances rates of chemical reactions, substrates which melt above temperature necessary for gas deposition are required. In the photolytic process, laser photons are used to directly dissociate the precursor molecules in the gas phase. UV light sources are required because many useful parent molecules (SiH4 , Si2H6 , Si3H8 ) require absorption of photons with wavelengths less than 220 nm to initiate dissociation reactions. Metals such as Al, Au, Cr, Cu, Ni, Pt and W have been deposited by laser.
  • 28. • Laser CVD is associated with the deposition of chemical vapors using a laser beam. • It is generated from sources like CO 2 , Nd:YAG and Excimer. • We can produce quality films at lower temperatures with better control on composition. • For example, a silicon nitride film could be deposited at 200°C using laser CVD, whereas it is deposited at 850°C and 450°C by thermal CVD and plasma-enhanced CVD, respectively. • In the case of nanoparticles, tungsten powder of 54 nm could be synthesized from WF6/H2/M (M = Ar, Kr, Ne, Xe) gas mixtures irradiated with an ArF Excimer laser.