SlideShare una empresa de Scribd logo
1 de 25
CIRCUITOS DIGITALES I




MAQUINAS DE ESTADO FINITO




                            1
ECUACIONES DEL ESTADO SIGUIENTE

• Para reducir el tamaño de agrupamiento
  en los mapas:
             +
           Q =D
             +
           Q = T ⊕Q
             +
           Q = S + R 'Q
             +
           Q = JQ '+ K 'Q
                                           2
CONTADOR ASCENDENTE/DESCENDENTE
       UTILIZANDO UN FF JK

• Solución: 6 mapas de Karnaugh de 4 variables

          +
• ó si   Q = JQ '+ K 'Q

• Evaluando Q en 0 y 1 se obtiene:
              +
          Q              =J     Solo se requieren 4
                                mapas de Karnaugh
                  Q =0          para cada variable
              +
          Q              =K'
                  Q =1
                                                      3
UTILIZANDO UN FF JK


• Para el caso de Qa+:
                                QbQc


Qa +             = Ja     XQa          00   01   11   10
         Qa =0                    00
                                       X    0    1    X
     +
Qa               = Ka '           01
                                       X    1    0    X
         Qa =1                    11
                                       X    0    1    X
                                  10
     +                                 X    1    0    X
Qa               = Ja
         Qa =0
                                                           4
UTILIZANDO UN FF JK (2)


 • Para el caso de Qa+:
                                                         QbQc   00   01   11   10
                                                     XQa

                                                           00
Qa   +
                 = J a = x 'Qb + xQb ' = x ⊕ Qb                 X 0 1 X
         Qa =0
                                                           01
                                                                X 1 0 X
                                                           11
     +                                                          X 0 1 X
Qa               = K a ' = x ' Qb '+ xQb = ( x ⊕ Qb )'     10
         Qa =1                                                  X 1 0 X

 • Agrupar solo donde sea K’ y J

                                                                                5
UTILIZANDO UN FF JK (3)


 • Para el caso de Qb+:                               Kb '
                              QbQc

                        XQa           00    01   11     10

                                 00
         +                            X     1    0      X
Qb                    = Jb = 1
             Qb = 0              01
                                      X     1    0      X
                                 11
                                      X     1    0      X
                                 10
                                      X     1    0      X

     +
Qb               = Kb ' = 0 ⇒ Kb =1        Jb                6
         Qb =1
UTILIZANDO UN FF JK (4)


 • Para el caso de Qc+:
                                                  Kc '
                                  QbQc

                           XQa              00   01   11   10

                                      00
                                            X    1    1    X
     +
Qb                = Jb = X = 0        01
         Qb = 0                             X    1    1    X
                                      11
     +                                      X    1    1    X
Qc               = Kc ' =1 ⇒ Kc = 0
         Qc =1                        10
                                            X    1    1    X

                                           Jc              Jc   7
TIPOS DE MÁQUINA DE ESTADO

• Mealy:
              Estado siguiente=F(Estado Actual, Entradas)
              Salidas=G(Estado Actual, Entradas)




• Moore    Estado siguiente=F(Estado Actual, Entradas)
           Salidas=G(Estado Actual)




                                                            8
TIPOS DE MÁQUINA DE ESTADO (2)


• Contadores: Sin entradas, el estado
  actual es la salida.
• Moore: Las salidas son válidas, solo un
  corto periodo después de un flanco de
  reloj.
• Mealy: Las salidas pueden ser validas
  antes de un flanco de reloj.


                                            9
TIPOS DE MÁQUINA DE ESTADO (3)


• Difieren en la forma en que se produce
  la salida.
• Moore: La salida solo es función del
  estado actual.
• Mealy: La salida es función tanto del
  estado actual como de la entrada.
• También se les conoce con el nombre de
  máquinas de estado finito (FSM: Finite
  State Machine)
                                           10
APLICACIÓN: MÁQUINA MEALY

• Contador de 2 bits, con señal de entrada x, para
  habilitar el conteo. Se requiere además una
  señal de salida que indique cuando el conteo
  vuelve a cero, solo si x=1(cuenta).




                                                 11
APLICACIÓN: MÁQUINA DE MEALY (2)


      E.A.                         E.S.                        Salida
       Q                X=0                    X=1        X=0      X=1
 Qa          Qb    Qa         Qb          Qa         Qb   Z             Z
  0          0      0         0           0          1     0            0
  0          1      0         1           1          0     0            0
  1          0      1         0           1          1     0            0
  1          1      1         1           0          0     0            1

             Qb + = Db = Qb ⊕ x
             Qa + = Da = x (Qa ⊕ Qb) + Qa ⋅ x
             z = x ⋅ Qa ⋅ Qb
                                                                            12
APLICACIÓN: MAQUINA DE MOORE
      E.A.                        E.S.                   Salida
       Q               X=0                    X=1
 Qa          Qb   Qa         Qb          Qa         Qb     Y
  0          0    0          0           0          1      0
  0          1    0          1           1          0      0
  1          0    1          0           1          1      0
  1          1    1          1           0          0      1



                        Qb + = Db = Qb ⊕ x
                        Qa + = Da = x (Qa ⊕ Qb) + Qa ⋅ x
                        y = Qa ⋅ Qb


                                                                  13
DETECTORES DE SECUENCIAS


• Diseñar un sistema para la detección de
  una serie de números que se presenten
  en la entrada de una máquina de estado.

     …000101001…                                     …000001000…
                                  Detector de
         Entrada         1                            1 Salida
                                   secuencia



Los datos entran de forma serial al detector (1 bit por cada ciclo de reloj)
                                                                           14
DETECTOR DE SECUENCIAS (2)


• Z=1 donde sea que aparezca la secuencia 101,
  en tres ciclos consecutivos de reloj.

CLK   1   2   3   4   5   6   7   8   9   10   11   12   13   14   15   16   17   18    19


 X    0 0 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 0 1
 Z    0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0




                                                                                   15
SOLUCIÓN DETECTOR DE SECUENCIA 101


• MOORE       EJERCICIO: Realizar el
              diagrama de estados
              usando la máquina de
              Mealy




                                       16
ELIMINACIÓN DE ESTADOS REDUNDANTES

• En el diseño de MEF, puede ocurrir que se
  utilicen más estados de los necesarios.
• Esto implica que el hardware sea de mayor
  tamaño (más elementos de memoria).
• Una tabla de estados con menos filas
  normalmente requerirá menos biestables y
  compuertas en la implementación.
  (economía)

                                          17
DETECCIÓN DE ESTADOS REDUNDANTES
• Sistema Mealy con una entrada y una
  salida


                   Transiciones iguales

                   Salida idénticas




                                          18
ELIMINACIÓN DE ESTADOS REDUNDANTES




                                19
REDUCCION DE ESTADOS
• En la siguiente tabla los estados 5 y 6 son
  equivalentes, al igual que el 1 y el 7.

                  x                         x
   Estado    0         1    Estados    0         1
      1     2/0       7/0      1      2/0       1/0
      2     2/0       3/0      2      2/0       3/0
      3     2/0       4/0      3      2/0       4/0
      4     2/0       5/0      4      2/0       5/0
      5     2/0       6/1      5      2/0       5/1
      6     2/0       6/1
      7     2/0       7/0


                                                      20
EJEMPLO: MÁQUINA EXPENDEDORA DE
                   CHICLE
• La máquina entrega un paquete de chicles
  después de depositar $150.
• La máquina solo posee una ranura para
  insertar las monedas ($100, $50)
• No hay cambio o devolución
        Sensor   C

                 T       Expendedora Abrir   Mecanismo
       Monedas
                           Máquina           para liberar
                 Reset                       el producto

                 Clk


                                                            21
DIAGRAMA DE ESTADOS: MÁQUINA
       EXPENDEDORA

         Tabular secuencias típicas de entrada:
                •Tres de cincuenta
                •Cincuenta y cien
                •Cien y cincuenta
                •Cien y cien
                •2 de cincuenta y una de 100




              Entradas: T(50), C(100), reset

              Salida: Abrir               22
REDUCCIÓN DE ESTADOS




                       23
CODIFICACIÓN DE ESTADOS




                          24
IMPLEMENTACIÓN MÁQUINA
                  EXPENDEDORA
   Q1 Q0                Q1           Q1 Q0                Q1           Q1 Q0                Q1
CT     00    01    11    10       CT     00    01    11    10       CT     00    01    11    10
    00   0   0     1     1            00   0   1     1     0            00   0   0     1     0
    01   0   1     1     1            01   1   0     1     1            01   0   0     1     0
                              T                                 T                                      T
    11   X X X X                      11   X X X X                      11   X X X X
C                                 C                                 C
    10   1   1     1     1            10   0   1     1     1            10   0   0     1     0

                  Q0                                Q0                                Q0



                                                    D1 = Q1 + C + Q0T
                                                    D0 = TQ0 + Q0T + Q1C
                                                    ABRIR = Q1Q0
                                                                                                  25

Más contenido relacionado

La actualidad más candente

54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops
SENA-CIMI-GIRON
 
Sistemas de segundo orden
Sistemas de segundo  ordenSistemas de segundo  orden
Sistemas de segundo orden
Henry Alvarado
 
Electronica rectificadores
Electronica rectificadoresElectronica rectificadores
Electronica rectificadores
Velmuz Buzz
 
11 Transformada De Laplace
11 Transformada De Laplace11 Transformada De Laplace
11 Transformada De Laplace
kahtya
 
Modelado de circuitos con ED de orden superior
Modelado de circuitos con ED de orden superiorModelado de circuitos con ED de orden superior
Modelado de circuitos con ED de orden superior
Juan Camilo Sacanamboy
 
Circuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronosCircuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronos
Alexa Ramirez
 
05 respuesta en el tiempo de un sistema de control
05   respuesta en el tiempo de un sistema de control05   respuesta en el tiempo de un sistema de control
05 respuesta en el tiempo de un sistema de control
reneej748999
 

La actualidad más candente (20)

Amplificadores operacionales
Amplificadores operacionalesAmplificadores operacionales
Amplificadores operacionales
 
Tema04 leccion10
Tema04 leccion10Tema04 leccion10
Tema04 leccion10
 
Problemas de Regulación Automática
Problemas de Regulación AutomáticaProblemas de Regulación Automática
Problemas de Regulación Automática
 
Restador completo
Restador completoRestador completo
Restador completo
 
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
Diferentes tipos de flip flops (jk, sr, d, t) sus tablas de verdad,
 
54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops
 
Sistemas de segundo orden
Sistemas de segundo  ordenSistemas de segundo  orden
Sistemas de segundo orden
 
Amplificación de señal con BJT
Amplificación de señal con BJTAmplificación de señal con BJT
Amplificación de señal con BJT
 
Clase MSI
Clase MSIClase MSI
Clase MSI
 
Electronica rectificadores
Electronica rectificadoresElectronica rectificadores
Electronica rectificadores
 
Amplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferenciaAmplificadores operacionales con funciones de transferencia
Amplificadores operacionales con funciones de transferencia
 
11 Transformada De Laplace
11 Transformada De Laplace11 Transformada De Laplace
11 Transformada De Laplace
 
Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1Lugar geométrico de las raices control 1
Lugar geométrico de las raices control 1
 
2 campos electrostaticos
2 campos electrostaticos2 campos electrostaticos
2 campos electrostaticos
 
Modelado de circuitos con ED de orden superior
Modelado de circuitos con ED de orden superiorModelado de circuitos con ED de orden superior
Modelado de circuitos con ED de orden superior
 
Circuitos polifasicos parte 2
Circuitos polifasicos parte 2Circuitos polifasicos parte 2
Circuitos polifasicos parte 2
 
Circuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronosCircuitos secuenciales sincronos y asincronos
Circuitos secuenciales sincronos y asincronos
 
05 respuesta en el tiempo de un sistema de control
05   respuesta en el tiempo de un sistema de control05   respuesta en el tiempo de un sistema de control
05 respuesta en el tiempo de un sistema de control
 
1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet1.3.1 polarizacion del jfet
1.3.1 polarizacion del jfet
 
Circuitos Digitales - Contador ascendente y descendente con reset
Circuitos Digitales - Contador ascendente y descendente con resetCircuitos Digitales - Contador ascendente y descendente con reset
Circuitos Digitales - Contador ascendente y descendente con reset
 

Destacado

Destacado (10)

Latch y Flip-Flops
Latch y Flip-FlopsLatch y Flip-Flops
Latch y Flip-Flops
 
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de DecisiónLenguaje C para Microcontroladores PIC - Declaraciones de Decisión
Lenguaje C para Microcontroladores PIC - Declaraciones de Decisión
 
Clase Latch y FFs
Clase Latch y FFsClase Latch y FFs
Clase Latch y FFs
 
Clase Diagramas de Karnaugh
Clase Diagramas de KarnaughClase Diagramas de Karnaugh
Clase Diagramas de Karnaugh
 
Clase USART
Clase USARTClase USART
Clase USART
 
Máquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDLMáquinas de Estado Finito en VHDL
Máquinas de Estado Finito en VHDL
 
Flip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los LatchFlip-Flops y aplicaciones de los Latch
Flip-Flops y aplicaciones de los Latch
 
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-FlopsMultivibradores, Temporizadores y aplicaciones de los Flip-Flops
Multivibradores, Temporizadores y aplicaciones de los Flip-Flops
 
Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887Módulo Timer 1 del PIC16F887
Módulo Timer 1 del PIC16F887
 
Clase instrucciones PIC16F877A
Clase instrucciones PIC16F877AClase instrucciones PIC16F877A
Clase instrucciones PIC16F877A
 

Más de Fernando Aparicio Urbano Molano

Más de Fernando Aparicio Urbano Molano (20)

Ciclos de Control en Shell
Ciclos de Control en ShellCiclos de Control en Shell
Ciclos de Control en Shell
 
Introducción al Shell Linux
Introducción al Shell LinuxIntroducción al Shell Linux
Introducción al Shell Linux
 
Introducción al Linux Embebido
Introducción al Linux EmbebidoIntroducción al Linux Embebido
Introducción al Linux Embebido
 
Introducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PICIntroducción al Lenguaje C para microcontroladores PIC
Introducción al Lenguaje C para microcontroladores PIC
 
Node.JS para Intel Galileo
Node.JS para Intel GalileoNode.JS para Intel Galileo
Node.JS para Intel Galileo
 
Linux Yocto y GPIO
Linux Yocto y GPIOLinux Yocto y GPIO
Linux Yocto y GPIO
 
Arquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel GalileoArquitectura Computacional - Intel Galileo
Arquitectura Computacional - Intel Galileo
 
Conexiones del PIC16F887
Conexiones del PIC16F887Conexiones del PIC16F887
Conexiones del PIC16F887
 
Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887Módulo EUSART del PIC16F887
Módulo EUSART del PIC16F887
 
Módulo ADC del PIC16F887
Módulo ADC del PIC16F887Módulo ADC del PIC16F887
Módulo ADC del PIC16F887
 
Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887Modulo Timer 0 del PIC16F887
Modulo Timer 0 del PIC16F887
 
Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887Arquitectura Microcontrolador PIC16F887
Arquitectura Microcontrolador PIC16F887
 
Introducción al PIC16 f887
Introducción al PIC16 f887Introducción al PIC16 f887
Introducción al PIC16 f887
 
Memorias
MemoriasMemorias
Memorias
 
Estados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de KarnaughEstados Indiferentes - Diagramas de Karnaugh
Estados Indiferentes - Diagramas de Karnaugh
 
Clase Timer 1
Clase Timer 1Clase Timer 1
Clase Timer 1
 
Conversor ADC
Conversor ADCConversor ADC
Conversor ADC
 
Timer 0 - Pic16F877A
Timer 0 - Pic16F877ATimer 0 - Pic16F877A
Timer 0 - Pic16F877A
 
Introducción al VHDL
Introducción al VHDLIntroducción al VHDL
Introducción al VHDL
 
Clase arquitectura
Clase arquitecturaClase arquitectura
Clase arquitectura
 

Último

FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURAFORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
El Fortí
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
UPTAIDELTACHIRA
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
JonathanCovena1
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Francisco158360
 
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
RigoTito
 

Último (20)

TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptxTIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
 
Infografía EE con pie del 2023 (3)-1.pdf
Infografía EE con pie del 2023 (3)-1.pdfInfografía EE con pie del 2023 (3)-1.pdf
Infografía EE con pie del 2023 (3)-1.pdf
 
proyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niñoproyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niño
 
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdfFeliz Día de la Madre - 5 de Mayo, 2024.pdf
Feliz Día de la Madre - 5 de Mayo, 2024.pdf
 
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURAFORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
FORTI-MAYO 2024.pdf.CIENCIA,EDUCACION,CULTURA
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
 
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdfNUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
NUEVAS DIAPOSITIVAS POSGRADO Gestion Publica.pdf
 
Criterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficiosCriterios ESG: fundamentos, aplicaciones y beneficios
Criterios ESG: fundamentos, aplicaciones y beneficios
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes d
 
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdfTema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
 
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICABIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
BIOMETANO SÍ, PERO NO ASÍ. LA NUEVA BURBUJA ENERGÉTICA
 
Abril 2024 - Maestra Jardinera Ediba.pdf
Abril 2024 -  Maestra Jardinera Ediba.pdfAbril 2024 -  Maestra Jardinera Ediba.pdf
Abril 2024 - Maestra Jardinera Ediba.pdf
 
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
Lecciones 05 Esc. Sabática. Fe contra todo pronóstico.
 
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VSOCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
OCTAVO SEGUNDO PERIODO. EMPRENDIEMIENTO VS
 
Power Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptxPower Point: Fe contra todo pronóstico.pptx
Power Point: Fe contra todo pronóstico.pptx
 
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
SESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.docSESION DE PERSONAL SOCIAL.  La convivencia en familia 22-04-24  -.doc
SESION DE PERSONAL SOCIAL. La convivencia en familia 22-04-24 -.doc
 
Sesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronósticoSesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronóstico
 
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
2 REGLAMENTO RM 0912-2024 DE MODALIDADES DE GRADUACIÓN_.pptx
 
Presentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza MultigradoPresentacion Metodología de Enseñanza Multigrado
Presentacion Metodología de Enseñanza Multigrado
 

Máquinas de Estado

  • 1. CIRCUITOS DIGITALES I MAQUINAS DE ESTADO FINITO 1
  • 2. ECUACIONES DEL ESTADO SIGUIENTE • Para reducir el tamaño de agrupamiento en los mapas: + Q =D + Q = T ⊕Q + Q = S + R 'Q + Q = JQ '+ K 'Q 2
  • 3. CONTADOR ASCENDENTE/DESCENDENTE UTILIZANDO UN FF JK • Solución: 6 mapas de Karnaugh de 4 variables + • ó si Q = JQ '+ K 'Q • Evaluando Q en 0 y 1 se obtiene: + Q =J Solo se requieren 4 mapas de Karnaugh Q =0 para cada variable + Q =K' Q =1 3
  • 4. UTILIZANDO UN FF JK • Para el caso de Qa+: QbQc Qa + = Ja XQa 00 01 11 10 Qa =0 00 X 0 1 X + Qa = Ka ' 01 X 1 0 X Qa =1 11 X 0 1 X 10 + X 1 0 X Qa = Ja Qa =0 4
  • 5. UTILIZANDO UN FF JK (2) • Para el caso de Qa+: QbQc 00 01 11 10 XQa 00 Qa + = J a = x 'Qb + xQb ' = x ⊕ Qb X 0 1 X Qa =0 01 X 1 0 X 11 + X 0 1 X Qa = K a ' = x ' Qb '+ xQb = ( x ⊕ Qb )' 10 Qa =1 X 1 0 X • Agrupar solo donde sea K’ y J 5
  • 6. UTILIZANDO UN FF JK (3) • Para el caso de Qb+: Kb ' QbQc XQa 00 01 11 10 00 + X 1 0 X Qb = Jb = 1 Qb = 0 01 X 1 0 X 11 X 1 0 X 10 X 1 0 X + Qb = Kb ' = 0 ⇒ Kb =1 Jb 6 Qb =1
  • 7. UTILIZANDO UN FF JK (4) • Para el caso de Qc+: Kc ' QbQc XQa 00 01 11 10 00 X 1 1 X + Qb = Jb = X = 0 01 Qb = 0 X 1 1 X 11 + X 1 1 X Qc = Kc ' =1 ⇒ Kc = 0 Qc =1 10 X 1 1 X Jc Jc 7
  • 8. TIPOS DE MÁQUINA DE ESTADO • Mealy: Estado siguiente=F(Estado Actual, Entradas) Salidas=G(Estado Actual, Entradas) • Moore Estado siguiente=F(Estado Actual, Entradas) Salidas=G(Estado Actual) 8
  • 9. TIPOS DE MÁQUINA DE ESTADO (2) • Contadores: Sin entradas, el estado actual es la salida. • Moore: Las salidas son válidas, solo un corto periodo después de un flanco de reloj. • Mealy: Las salidas pueden ser validas antes de un flanco de reloj. 9
  • 10. TIPOS DE MÁQUINA DE ESTADO (3) • Difieren en la forma en que se produce la salida. • Moore: La salida solo es función del estado actual. • Mealy: La salida es función tanto del estado actual como de la entrada. • También se les conoce con el nombre de máquinas de estado finito (FSM: Finite State Machine) 10
  • 11. APLICACIÓN: MÁQUINA MEALY • Contador de 2 bits, con señal de entrada x, para habilitar el conteo. Se requiere además una señal de salida que indique cuando el conteo vuelve a cero, solo si x=1(cuenta). 11
  • 12. APLICACIÓN: MÁQUINA DE MEALY (2) E.A. E.S. Salida Q X=0 X=1 X=0 X=1 Qa Qb Qa Qb Qa Qb Z Z 0 0 0 0 0 1 0 0 0 1 0 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 Qb + = Db = Qb ⊕ x Qa + = Da = x (Qa ⊕ Qb) + Qa ⋅ x z = x ⋅ Qa ⋅ Qb 12
  • 13. APLICACIÓN: MAQUINA DE MOORE E.A. E.S. Salida Q X=0 X=1 Qa Qb Qa Qb Qa Qb Y 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1 1 1 0 0 1 Qb + = Db = Qb ⊕ x Qa + = Da = x (Qa ⊕ Qb) + Qa ⋅ x y = Qa ⋅ Qb 13
  • 14. DETECTORES DE SECUENCIAS • Diseñar un sistema para la detección de una serie de números que se presenten en la entrada de una máquina de estado. …000101001… …000001000… Detector de Entrada 1 1 Salida secuencia Los datos entran de forma serial al detector (1 bit por cada ciclo de reloj) 14
  • 15. DETECTOR DE SECUENCIAS (2) • Z=1 donde sea que aparezca la secuencia 101, en tres ciclos consecutivos de reloj. CLK 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 X 0 0 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 0 1 Z 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 0 0 15
  • 16. SOLUCIÓN DETECTOR DE SECUENCIA 101 • MOORE EJERCICIO: Realizar el diagrama de estados usando la máquina de Mealy 16
  • 17. ELIMINACIÓN DE ESTADOS REDUNDANTES • En el diseño de MEF, puede ocurrir que se utilicen más estados de los necesarios. • Esto implica que el hardware sea de mayor tamaño (más elementos de memoria). • Una tabla de estados con menos filas normalmente requerirá menos biestables y compuertas en la implementación. (economía) 17
  • 18. DETECCIÓN DE ESTADOS REDUNDANTES • Sistema Mealy con una entrada y una salida Transiciones iguales Salida idénticas 18
  • 19. ELIMINACIÓN DE ESTADOS REDUNDANTES 19
  • 20. REDUCCION DE ESTADOS • En la siguiente tabla los estados 5 y 6 son equivalentes, al igual que el 1 y el 7. x x Estado 0 1 Estados 0 1 1 2/0 7/0 1 2/0 1/0 2 2/0 3/0 2 2/0 3/0 3 2/0 4/0 3 2/0 4/0 4 2/0 5/0 4 2/0 5/0 5 2/0 6/1 5 2/0 5/1 6 2/0 6/1 7 2/0 7/0 20
  • 21. EJEMPLO: MÁQUINA EXPENDEDORA DE CHICLE • La máquina entrega un paquete de chicles después de depositar $150. • La máquina solo posee una ranura para insertar las monedas ($100, $50) • No hay cambio o devolución Sensor C T Expendedora Abrir Mecanismo Monedas Máquina para liberar Reset el producto Clk 21
  • 22. DIAGRAMA DE ESTADOS: MÁQUINA EXPENDEDORA Tabular secuencias típicas de entrada: •Tres de cincuenta •Cincuenta y cien •Cien y cincuenta •Cien y cien •2 de cincuenta y una de 100 Entradas: T(50), C(100), reset Salida: Abrir 22
  • 25. IMPLEMENTACIÓN MÁQUINA EXPENDEDORA Q1 Q0 Q1 Q1 Q0 Q1 Q1 Q0 Q1 CT 00 01 11 10 CT 00 01 11 10 CT 00 01 11 10 00 0 0 1 1 00 0 1 1 0 00 0 0 1 0 01 0 1 1 1 01 1 0 1 1 01 0 0 1 0 T T T 11 X X X X 11 X X X X 11 X X X X C C C 10 1 1 1 1 10 0 1 1 1 10 0 0 1 0 Q0 Q0 Q0 D1 = Q1 + C + Q0T D0 = TQ0 + Q0T + Q1C ABRIR = Q1Q0 25