SlideShare a Scribd company logo
1 of 5
Download to read offline
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
17
Implementation and Impact of LNS MAC Units in
Digital Filter Application
Hari Krishna Raja .V .S
Sri Shakthi Institute of Engineering and Technology,
Department of Electronics and Communication Engineering,
hari.rajaece205@gmail.com
Abstract— The logarithmic number system (LNS) is an efficient way to represent data in VLSI processors because its
round-off error behavior resembles that of floating point arithmetic. LNS reduce the power dissipation in signal-processing-
related application such as hearing-aid devices, video processing and error control. This paper presents techniques for low-
power addition/subtraction in the LNS and quantifies their impact on digital filter VLSI implementation. The operation of
addition and subtraction are difficult to perform in LNS as complex look up tables (LUTs) are needed. The impact of
partitioning the look-up-tables required for LNS addition/subtraction on complexity performance and power dissipation is
quantified. LNS base and LNS word are the two design parameters exploited to minimize complexity. A round-off noise
model is used to demonstrate the impact of base and word-length on SNR of the output of FIR filters. In addition, techniques
for low-power implementation of an LNS multiply accumulate (MAC) units are investigated. The proposed techniques can
be extended to co-transformation-based circuits that employ interpolators. The results are demonstrated by evaluating the
power dissipation, complexity and performance of several FIR filter configurations comprising one, two or four MAC units.
Simulation of placed and routed VLSI LNS-based digital filters using Xilinx ISE reveal that significant power dissipation
savings are possible by using optimized LNS circuits at no performance penalty, when compared to linear fixed-point two’s-
complement equivalents.
Index Terms— Computer arithmetic, digital filter, MAC, LNS, LUT
——————————  ——————————
1 INTRODUCTION
Data representation is an important parameter in the design of
low-power processors since it affects both the switching activity
and hardware complexity [4]. The logarithmic number system
(LNS) has been investigated as an efficient way to represent data
in special purpose VLSI processors, since it allows for simple
arithmetic circuits under certain conditions. In particular, LNS
exploits the properties of the logarithm to reduce the basic
arithmetic operations of multiplication, division, roots, and powers
to binary addition, subtraction, and right and left shifts,
respectively. In addition to simplifying several operations, LNS
provides efficient data representation because its round off error
behavior resembles that of floating-point arithmetic. In fact, LNS-
based systems have been proposed that exhibit characteristics
similar to 32-bit single-precision floating-point representation [1].
The operations of addition and subtraction are rather awkward to
perform in LNS as complex look-up tables (LUTs) or other
approximation circuitries are needed. While for short word lengths
simple techniques based on LUTs suffice, more elaborate
approximation techniques are required for longer word lengths.
1.1 Existing System
Several authors have proposed solutions to reduce complexity
of awkward LNS operations. Mahalingam et al. [11] improve
Mitchell’s Algorithm in terms of the accuracy of the logarithmic
operations, while Johansson et al. [10] use a method based on
sums of bit products to implement the basic logarithmic functions.
Arnold et al. [2] suggest the use of co-transformations for the
reduction of the LUT. Very recently, Ismail et al. [9] presented a
co transformation procedure and an improved interpolation
method that reduce the size of LUT to an extent that allows their
easy synthesis in logic. Arnold et al. [3] propose complex LNS as
a generalization of LNS, which represents complex values in log-
polar form.
For several practical applications, the benefits of LNS are
found to be more important than its inherent disadvantages. In
particular, several authors have shown that LNS reduces power
dissipation in signal-processing-related applications, ranging from
hearing-aid devices and sub band coding, to video processing and
error control. Moreover, logarithmic techniques have been
employed in turbo code decoding for wireless communication
applications. In particular, logarithmic representation has been
proved to be suited for the implementation of the symbol-by-
symbol logarithmic maximum a posteriori algorithm used for
iterative decoding. Peng et al. have adopted LNS for the
implementation of an FFT based log-sum-product-decoding-
algorithm used in decoding of non binary low-density parity check
codes. In particular, the impact of the selection of the base b of the
logarithm has been investigated as a means to explore tradeoffs
between precision and dynamic range given a particular word
length. Paliouras et al. address the low-power LNS properties
from a representational viewpoint and do not focus on power
dissipation estimation data obtained by circuit simulations.
1.2 Proposed System
The proposed study focuses on the use of partitioning as a
technique to limit the exponential growth of the size of LUTs with
the word length. The technique is simple and leads to fast circuits.
Initially, extending, optimal selection of LNS design parameters is
sought, including word length and base assuming a simple
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
18
partitioned LUT architecture. Subsequently, in the second stage of
the proposed framework the design techniques and the derived
architectures are presented, targeting LNS MAC units in 90-nm
technology. To illustrate the use of the proposed framework, the
area-time-power design space of a low-pass finite impulse
response (FIR) filter is explored for several configurations of
MAC units. A similar study has recently been performed by Galal
and Horowitz in the different context of floating-point arithmetic
[7]. Departing from direct LUT organization, a variety of LNS
architectures for addition, subtraction, and multi-operand
operations, has been proposed in the literature employing
interpolation, linear or polynomial approximation aiming at
reducing the memory requirements, particularly for larger word
lengths, such as 32 bits [8]. These ideas have been combined with
mathematical decompositions and transformations of the basic
operations, exploiting the particular characteristics of the
functions to further simplify approximation [9]. Beyond the
representational properties of LNS that have an impact on
switching activity, LNS arithmetic units have structural
characteristics that can be exploited to reduce power dissipated. In
particular, they comprise
 mutually exclusive subunits, which can be used selectively,
and
 Imbalanced delay paths.
Therefore, simple low-power design techniques are found to
suit an LNS adder/subtractor organization very well; the impact is
quantified for the case of lookup-based architectures, but other
LNS architectures may benefit as well, in terms of reducing power
dissipation. Extension to other architectures is demonstrated using
an interpolation-based subtractor as an illustrative example.
Partitioned LUT circuits provide high speed; more sophisticated
techniques can be used to reduce size. In summary, the
contributions of this paper are as follows:
 a low-power design framework for LNS systems,
 the quantification of power dissipation reduction and
performance improvement made possible by using LNS
,compared to equivalent binary implementations,
 the design space exploration using the number of LUTs for
addition /subtraction as a parameter ,for the case of using
combinational logic for LUT implementation, and
 the extensions of SNR models in LNS for the case of b≠2.
2 LNS BASICS
The basic idea in LNS is to use logarithms to represent data.
Since the logarithm of a negative number is not real, to represent
signed numbers in LNS, the sign information is stored as a
separate bit sx, and used in combination with the logarithm of the
magnitude of the number. Furthermore, since the logarithm of
zero is not a finite number, an additional single-bit flag zx is used
to denote that a number is zero. Summarizing, X denotes the
original number, x denotes the logarithm of the absolute value of
|X|, and XLNS is a triplet containing the sign bit, the zero bit and x.
Formally in LNS, a number X is represented as the triplet
χLNS = (zx ,sx ,x), (1)
Where zx is asserted in the case that X is zero, sx is the sign of X
and x=log b(|X|), if X is not zero, with b being the base of the
logarithm, also called base of the representation. The choice of b
plays a crucial role in the representational capabilities of the triplet
in (1), as well as the computational complexity of the processing
and forward and inverse conversion circuitry. Due to the basic
properties of the logarithm, the multiplication of XLNS and YLNS is
reduced to the computation of the triplet ZLNS
ZLNS = (zx, sx, z), (2)
Where zZ = zx V zy, sZ = sX xor sy, and z = x + y. Similarly, the
case of division reduces to binary subtraction. The derivation of
the logarithm a of the sum A of two triplets is more involved, as it
relies on the computation of
a =max{x,y} + logb(1+b-|x-y|
), (3)
= max{x,y} + ϕa(d), (4)
Where ϕa(d) = logb(1+b-d
) and
d= |x-y|. (5)
Similarly, the derivation of the difference of two numbers,
requires the computation of
c =max{x,y} + logb(1-b-|x-y|
), (6)
= max{x,y} + ϕs(d), (7)
Assume that a TC word is used to represent the logarithm x,
composed of a k-bit integral part and an l-bit fractional part. The
range DLNS spanned by x is given by
(8)
a linear TC representation of i integral bits and f fractional bits. In
general, LNS offers a superior range, over the linear TC
representation. This is achieved using comparable word lengths,
by departing from the strategy of equispaced representable values
and thus resorting to a scheme that resembles floating-point
arithmetic. The basic organization of an LNS adder/subtractor is
shown in Fig. 1. The parallel subtraction are implemented,
followed by a multiplexer, which computes d according to the rule
s1 = x – y, (9)
s2 = y –x, (10)
(11)
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
19
Fig. 1. The organization of an LNS
adder/subtractor
The choice exploits the sign of either (9) or (10), as a select
signal for the multiplexer. The same signal is used to select the
maximum of x and y, required for the computation of (4) and (7).
The complexity of LNS circuitry arises from the fact that the
values of functions ϕa and ϕs should be computed by the LNS
addition/subtraction circuit hardware for all required values of d.
There are two main approaches to implement the evaluation of
functions, namely the hardware implementation of an
approximation algorithm or the offline pre-computation and
storage of all required values in an LUT. The former approach is
generally adopted for high-precision applications, while the latter
approach is generally preferable for smaller word lengths, i.e., in
relatively low-precision applications where the size of the required
LUTs is moderate. Both approaches have been extensively studied
in the context of elementary function approximation. Let x denote
the base-b logarithm of X and x2 denote the base-2 logarithm of X.
Since x = logbx = x2(logb 2), the conversion between a base-b LNS
and a base-2 LNS requires scaling by a constant factor. Several
authors have studied hardware implementations of converters
to/from base-2 LNS. In this paper, although conversion is
neglected the conclusions about power consumption are valid for
the complete application. To better clarify this we assume an FIR
filter of order N, requiring about NMAC operations for each input
conversion and each output conversion. If Ein is the average
energy for one input conversion, Eout is the average energy for one
output conversion, and Ey is average energy for one MAC, the
total energy (after initialization) for the FIR filter to produce each
result is EFIR=Ein+Eout+N.Ey. For sufficiently large values of N, the
percentage of energy consumed in the multiply-add units may
approach 100 percent of the total as limN→∞ Ey/ EFIR =1.0.
3 LOW-POWER DESIGN OF LNS CIRCUITS
In this section, low-power LNS architectures for addition and
subtraction are presented. The memory structure is organized as a
collection of LUTs and is the most complex part of the LNS
adder/subtractor. Several designs were investigated, distinguished
by two choices, i.e., first, the choice of using either latches or D
flip-flops (DFFs) to freeze the addresses of inactive sub-LUTs,
and, second, the choice to select the active sub-LUT either based
on the most significant bits (MSB) or on the least significant bits
(LSB) of d in (11). In the proposed design framework, power
dissipation reduction is sought by partitioning the particular LUTs
into smaller LUTs, called sub-LUTs, only one of which is active
per operation. This organization is shown in Fig. 1. To guarantee
that no dynamic power is dissipated in the inactive sub-LUTs, the
corresponding sub-LUT addresses are latched and remain constant
throughout a particular operation. Complexity reduction in LNS
processors by partitioning of the LUTs has been successfully
applied. Here, we focus on combinational logic implementation of
LUTs, instead of memory-based implementation. The
organization of the LNS adder/subtractor comprises N sub-LUTs
per operation, as shown in Fig. 1. The upper sub-LUT system
corresponds to function ϕa(d) required for LNS addition, i.e.,
addition of operands having the same sign, while the lower sub-
LUT system is used for LNS subtraction, i.e., addition of operands
of different signs.
3.1 Organization And Complexity Of LUT Subsystem In
LNS Adder/Subtractor
Assume that b denotes the logarithmic base and l is the number
of the fractional bits employed in the representation of the
logarithms. Therefore, differences among the values stored in
LUT2 are limited to their less significant part; therefore, the less
significant part is the only one that needs to be stored for each
value. Hence, fewer bits per entry are required to be stored in
LUT2 than in LUT1. Sub-LUTs that correspond to the upper parts
of the interval need to store data words of reduced length, since
stored values share a common most significant part. The
possibility to determine the active sub-LUT using the LSBs of d is
of interest, as LSBs are available early in the computation of d;
thus, allowing the fast generation of selection signals. However, a
partitioning scheme based on LSBs does not facilitate memory
compression since consecutive function samples are stored in
different sub-LUTs.
3.2 Implementation Of LNS Adder/Subtractor
Fig. 2. Four-latch organization using LSB
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
20
Fig.3. depicts a DFF-based architecture. It is noted that a
latch-based gated clock is used for the DFFs, since additional
signals are used to enable the corresponding flip-flops. Significant
advantage is obtained since gated clocks achieve further power
savings and also the problem of setup and hold time violations is
easier to resolve, since glitches are avoided.
Since the utilization of the MSB for LUT selection is not
efficient for a latch-based design due to additional hardware used
to introduce the required delay to fast paths of the circuit, a
solution based on DFFs is preferable.
Fig. 3. DFF organizations using the MSB
4 PROPOSED LNS MAC ARCHITECTURES
Fig. 4. Organization of single-MAC
architecture.
The basic structure of the single-MAC unit is shown in Fig.4.
Symbols * and + denote a multiplier and an adder, respectively,
while D denotes a delay unit, implemented as a register. The LNS
equivalent to single-MAC architecture is depicted in Fig.5, where
the binary multiplier has been replaced by an adder, and the binary
adder is mapped to an LNS adder/subtractor. The LNS
adder/subtractor is augmented with saturation circuitry and
exploits a zero flag to avoid unnecessary activation of LUT
partitions and further reduce power dissipation.
In the implementation of Fig.5, it is evident that the paths to
the inputs of the final adder are not balanced; thus, leading to
excessive switching activity at the adder following the memory
structure.
Fig. 5. LNS MAC unit.
5 RESULT ANALYSIS
Fig. 6. Simulation of LNS MAC
The result analysis is made to compare the area, power, and
timing constraints between single MAC architecture and LNS
MAC architecture. From the synthesized results, LNS MAC
architecture produces better performance. The estimated result of
LNS MAC Units using Xilinx ISE is shown below in Fig.6.
6 CONCLUSION
The adoption of LNS can lead to very efficient circuits for
digital filtering applications when appropriately selecting the
logarithmic base and the word length in a contemporary 90-nm
technology outperforming circuits based on TC arithmetic. An
LNS-based system using the proposed adder/subtractor offers
substantial power dissipation savings at no performance penalty.
Partitioning of the LUTs is employed to create parts in the circuit
that can be independently activated, thus, reducing power
dissipation. Power has been reduced by latching the inputs to the
LUTs. Furthermore, the gated clock technique has been used to
further reduce power consumption performed to the latched inputs
due to the clock signal. It has been shown that the choice of
number of sub-LUTs is an important design parameter that can be
INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY
VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303
21
employed for exploration of the area, time, and power design
space. Furthermore, the application of retiming is particularly
useful in avoiding unnecessary switching activity, due to
unbalanced delay paths in LNS arithmetic circuits. By properly
defining wordlength, base, circuit architecture and LUT
organization it has been shown that the LNS-based MACs can
outperform the corresponding TC ones in both power and delay
complexities, for specific practical word lengths.
REFERENCES
[1]. Arnold .M.G, Bailey .T.A, Cowles .J.R, and Winkel .M.D, (1992)
―Applying Features of the IEEE 754 to Sign/Logarithm
Arithmetic,‖
IEEE Trans. Computers, vol. 41, pp. 1040-1050.
[2]. Arnold .M.G, Bailey .A.T, Cowles .J.R, and Winkel .M.D, (1998)
―Arithmetic Co-Transformations in the Real and Complex
Logarithmic Number Systems,‖ IEEE Trans. Computers, vol.
47, no. 7, pp. 777-786.
[3]. Arnold .M and Collange .S, (2011) ―A Real/Complex
Logarithmic Number System ALU,‖ IEEE Trans. Computers,
vol. 60, no. 2, pp. 202-213.
[4]. Chen .K.-H and Chiueh T.-D, (2006) ―A Low-Power Digit-Based
Reconfigurable FIR Filter,‖ IEEE Trans. Circuits and Systems
II: Express Briefs, vol. 53, no. 8, pp. 617-621.
[5]. Coleman .J, Softley .C, Kadlec ,Matousek .J , Tichy .R .M, Pohl
.Z, Hermanek .A, and Benschop .N, (2008) ―The European
Logarithmic Microprocesor,‖ IEEE Trans. Computers, vol. 57,
no. 4, pp. 532-546.
[6]. Collange .S, Detrey .J, and Dinechin F.de, (2006) ―Floating-Point
or LNS: Choosing the Right Arithmetic on an Application
Basis,‖ Proc. Ninth Euromicro Conf. Digital System Design
(DSD ’06), pp. 197-203.
[7]. Galal .S and Horowitz .M, (2011) ―Energy-Efficient Floating-
Point Unit Design,‖ IEEE Trans. Computers, vol. 60, no. 7, pp.
913-922.
[8]. Henkel .H, (1989) ―Improved Addition for the Logarithmic
Number System,‖ IEEE Trans. Acoustics, Speech, and Signal
Processing, vol. 37, no. 2, pp. 301-303.
[9]. Ismail R.C and Coleman J.N, (2011) ―ROM-less LNS,‖ Proc.
IEEE Symp. Computer Arithmetic, pp. 43-51.
[10]. Johansson .K .V, Gustafsson .O .S, and Wanhammar .L,
(2008) ―Implementation of Elementary Functions for
Logarithmic Number Systems,‖ IET Computers and Digital
Techniques, 2008.
[11]. Mahalingam .V and Ranganathan .N, (2006) ―Improving
Accuracy in Mitchell’s Logarithmic Multiplication using
Operand Decomposition,‖ IEEE Trans. Computers, vol. 55, no.
12, pp. 1523-1535.

More Related Content

What's hot

IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET Journal
 
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderLow Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderIJERA Editor
 
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...AM Publications
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...ijsrd.com
 
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEM
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEMGRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEM
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEMIJCSEA Journal
 
Efficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliersEfficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multiplierseSAT Publishing House
 
Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite eSAT Journals
 
Analysis of signal transition
Analysis of signal transitionAnalysis of signal transition
Analysis of signal transitioncsandit
 
DSP IEEE paper
DSP IEEE paperDSP IEEE paper
DSP IEEE paperprreiya
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...VLSICS Design
 
IRJET - Distributed Arithmetic Method for Complex Multiplication
IRJET -  	  Distributed Arithmetic Method for Complex MultiplicationIRJET -  	  Distributed Arithmetic Method for Complex Multiplication
IRJET - Distributed Arithmetic Method for Complex MultiplicationIRJET Journal
 
Fpga sotcore architecture for lifting scheme revised
Fpga sotcore architecture for lifting scheme revisedFpga sotcore architecture for lifting scheme revised
Fpga sotcore architecture for lifting scheme revisedijcite
 
Bivariatealgebraic integerencoded arai algorithm for
Bivariatealgebraic integerencoded arai algorithm forBivariatealgebraic integerencoded arai algorithm for
Bivariatealgebraic integerencoded arai algorithm foreSAT Publishing House
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...VLSICS Design
 
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHM
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHMPERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHM
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHMIJCNCJournal
 
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...IRJET Journal
 
Compressive Data Gathering using NACS in Wireless Sensor Network
Compressive Data Gathering using NACS in Wireless Sensor NetworkCompressive Data Gathering using NACS in Wireless Sensor Network
Compressive Data Gathering using NACS in Wireless Sensor NetworkIRJET Journal
 

What's hot (19)

F010232834
F010232834F010232834
F010232834
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
 
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT EncoderLow Memory Low Complexity Image Compression Using HSSPIHT Encoder
Low Memory Low Complexity Image Compression Using HSSPIHT Encoder
 
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...
Improvement in Traditional Set Partitioning in Hierarchical Trees (SPIHT) Alg...
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
 
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEM
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEMGRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEM
GRAPH MATCHING ALGORITHM FOR TASK ASSIGNMENT PROBLEM
 
Efficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliersEfficient implementation of bit parallel finite field multipliers
Efficient implementation of bit parallel finite field multipliers
 
Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite Efficient implementation of bit parallel finite
Efficient implementation of bit parallel finite
 
Analysis of signal transition
Analysis of signal transitionAnalysis of signal transition
Analysis of signal transition
 
DSP IEEE paper
DSP IEEE paperDSP IEEE paper
DSP IEEE paper
 
Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...Fpga based efficient multiplier for image processing applications using recur...
Fpga based efficient multiplier for image processing applications using recur...
 
53
5353
53
 
IRJET - Distributed Arithmetic Method for Complex Multiplication
IRJET -  	  Distributed Arithmetic Method for Complex MultiplicationIRJET -  	  Distributed Arithmetic Method for Complex Multiplication
IRJET - Distributed Arithmetic Method for Complex Multiplication
 
Fpga sotcore architecture for lifting scheme revised
Fpga sotcore architecture for lifting scheme revisedFpga sotcore architecture for lifting scheme revised
Fpga sotcore architecture for lifting scheme revised
 
Bivariatealgebraic integerencoded arai algorithm for
Bivariatealgebraic integerencoded arai algorithm forBivariatealgebraic integerencoded arai algorithm for
Bivariatealgebraic integerencoded arai algorithm for
 
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
FPGA IMPLEMENTATION OF EFFICIENT VLSI ARCHITECTURE FOR FIXED POINT 1-D DWT US...
 
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHM
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHMPERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHM
PERFORMANCE AND COMPLEXITY ANALYSIS OF A REDUCED ITERATIONS LLL ALGORITHM
 
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...
IRJET- Low Complexity Pipelined FFT Design for High Throughput and Low Densit...
 
Compressive Data Gathering using NACS in Wireless Sensor Network
Compressive Data Gathering using NACS in Wireless Sensor NetworkCompressive Data Gathering using NACS in Wireless Sensor Network
Compressive Data Gathering using NACS in Wireless Sensor Network
 

Viewers also liked

Viewers also liked (7)

Geometry
GeometryGeometry
Geometry
 
digital filters
digital filtersdigital filters
digital filters
 
Digital Filters Part 1
Digital Filters Part 1Digital Filters Part 1
Digital Filters Part 1
 
Design of Filters PPT
Design of Filters PPTDesign of Filters PPT
Design of Filters PPT
 
Design of IIR filters
Design of IIR filtersDesign of IIR filters
Design of IIR filters
 
Basics of Digital Filters
Basics of Digital FiltersBasics of Digital Filters
Basics of Digital Filters
 
Filters
FiltersFilters
Filters
 

Similar to Implementation and Impact of LNS MAC Units in Digital Filter Application

FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...VLSICS Design
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplierijsrd.com
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...IOSR Journals
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET Journal
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLpaperpublications3
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGAVLSICS Design
 
Design & Implementation of LUT Based Multiplier Using APCOMS Technique
Design & Implementation of LUT Based Multiplier Using APCOMS TechniqueDesign & Implementation of LUT Based Multiplier Using APCOMS Technique
Design & Implementation of LUT Based Multiplier Using APCOMS Techniqueijsrd.com
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONS
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONSSVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONS
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONSijscmcj
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptIJARIIT
 
Reconfigurable intelligent surface passive beamforming enhancement using uns...
Reconfigurable intelligent surface passive beamforming  enhancement using uns...Reconfigurable intelligent surface passive beamforming  enhancement using uns...
Reconfigurable intelligent surface passive beamforming enhancement using uns...IJECEIAES
 
Optimal configuration of network
Optimal configuration of networkOptimal configuration of network
Optimal configuration of networkjpstudcorner
 
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...ijsrd.com
 
Discrete wavelet transform-based RI adaptive algorithm for system identification
Discrete wavelet transform-based RI adaptive algorithm for system identificationDiscrete wavelet transform-based RI adaptive algorithm for system identification
Discrete wavelet transform-based RI adaptive algorithm for system identificationIJECEIAES
 
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...IJCNCJournal
 

Similar to Implementation and Impact of LNS MAC Units in Digital Filter Application (20)

FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
FOLDED ARCHITECTURE FOR NON CANONICAL LEAST MEAN SQUARE ADAPTIVE DIGITAL FILT...
 
wcnc05
wcnc05wcnc05
wcnc05
 
Design and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated MultiplierDesign and Implementation of a Programmable Truncated Multiplier
Design and Implementation of a Programmable Truncated Multiplier
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDL
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
 
Design & Implementation of LUT Based Multiplier Using APCOMS Technique
Design & Implementation of LUT Based Multiplier Using APCOMS TechniqueDesign & Implementation of LUT Based Multiplier Using APCOMS Technique
Design & Implementation of LUT Based Multiplier Using APCOMS Technique
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONS
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONSSVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONS
SVD BASED LATENT SEMANTIC INDEXING WITH USE OF THE GPU COMPUTATIONS
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using pt
 
Reconfigurable intelligent surface passive beamforming enhancement using uns...
Reconfigurable intelligent surface passive beamforming  enhancement using uns...Reconfigurable intelligent surface passive beamforming  enhancement using uns...
Reconfigurable intelligent surface passive beamforming enhancement using uns...
 
Optimal configuration of network
Optimal configuration of networkOptimal configuration of network
Optimal configuration of network
 
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...Design and Implementation of Low Power DSP Core with Programmable Truncated V...
Design and Implementation of Low Power DSP Core with Programmable Truncated V...
 
Discrete wavelet transform-based RI adaptive algorithm for system identification
Discrete wavelet transform-based RI adaptive algorithm for system identificationDiscrete wavelet transform-based RI adaptive algorithm for system identification
Discrete wavelet transform-based RI adaptive algorithm for system identification
 
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...
Dynamic bandwidth allocation scheme in lr pon with performance modelling and ...
 

More from IJTET Journal

Beaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityBeaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityIJTET Journal
 
Biometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiBiometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiIJTET Journal
 
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksConceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksIJTET Journal
 
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...IJTET Journal
 
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodPrevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodIJTET Journal
 
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksEffective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksIJTET Journal
 
Raspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSRaspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSIJTET Journal
 
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...IJTET Journal
 
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesAn Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesIJTET Journal
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationIJTET Journal
 
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...IJTET Journal
 
Comprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksComprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksIJTET Journal
 
Optimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesOptimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesIJTET Journal
 
Foliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesFoliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesIJTET Journal
 
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemHarmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemIJTET Journal
 
Comparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresComparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresIJTET Journal
 
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...IJTET Journal
 
A Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterA Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterIJTET Journal
 
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...IJTET Journal
 
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationStudy of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationIJTET Journal
 

More from IJTET Journal (20)

Beaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For SecurityBeaglebone Black Webcam Server For Security
Beaglebone Black Webcam Server For Security
 
Biometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry PiBiometrics Authentication Using Raspberry Pi
Biometrics Authentication Using Raspberry Pi
 
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc NetworksConceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
Conceal Traffic Pattern Discovery from Revealing Form of Ad Hoc Networks
 
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
Node Failure Prevention by Using Energy Efficient Routing In Wireless Sensor ...
 
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy MethodPrevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
Prevention of Malicious Nodes and Attacks in Manets Using Trust worthy Method
 
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor NetworksEffective Pipeline Monitoring Technology in Wireless Sensor Networks
Effective Pipeline Monitoring Technology in Wireless Sensor Networks
 
Raspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRSRaspberry Pi Based Client-Server Synchronization Using GPRS
Raspberry Pi Based Client-Server Synchronization Using GPRS
 
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
ECG Steganography and Hash Function Based Privacy Protection of Patients Medi...
 
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc CodesAn Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
An Efficient Decoding Algorithm for Concatenated Turbo-Crc Codes
 
Improved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile ApplicationImproved Trans-Z-source Inverter for Automobile Application
Improved Trans-Z-source Inverter for Automobile Application
 
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
Wind Energy Conversion System Using PMSG with T-Source Three Phase Matrix Con...
 
Comprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor NetworksComprehensive Path Quality Measurement in Wireless Sensor Networks
Comprehensive Path Quality Measurement in Wireless Sensor Networks
 
Optimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query ServicesOptimizing Data Confidentiality using Integrated Multi Query Services
Optimizing Data Confidentiality using Integrated Multi Query Services
 
Foliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing TechniquesFoliage Measurement Using Image Processing Techniques
Foliage Measurement Using Image Processing Techniques
 
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase SystemHarmonic Mitigation Method for the DC-AC Converter in a Single Phase System
Harmonic Mitigation Method for the DC-AC Converter in a Single Phase System
 
Comparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting StructuresComparative Study on NDCT with Different Shell Supporting Structures
Comparative Study on NDCT with Different Shell Supporting Structures
 
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
Experimental Investigation of Lateral Pressure on Vertical Formwork Systems u...
 
A Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC ConverterA Five – Level Integrated AC – DC Converter
A Five – Level Integrated AC – DC Converter
 
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
A Comprehensive Approach for Multi Biometric Recognition Using Sclera Vein an...
 
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic ExitationStudy of Eccentrically Braced Outrigger Frame under Seismic Exitation
Study of Eccentrically Braced Outrigger Frame under Seismic Exitation
 

Recently uploaded

2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.pptRamjanShidvankar
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfPoh-Sun Goh
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Association for Project Management
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsKarakKing
 
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptxSKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptxAmanpreet Kaur
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfagholdier
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfSherif Taha
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Pooja Bhuva
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxDr. Sarita Anand
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxRamakrishna Reddy Bijjam
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - Englishneillewis46
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...Poonam Aher Patil
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 

Recently uploaded (20)

2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptxSKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
SKILL OF INTRODUCING THE LESSON MICRO SKILLS.pptx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 

Implementation and Impact of LNS MAC Units in Digital Filter Application

  • 1. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 17 Implementation and Impact of LNS MAC Units in Digital Filter Application Hari Krishna Raja .V .S Sri Shakthi Institute of Engineering and Technology, Department of Electronics and Communication Engineering, hari.rajaece205@gmail.com Abstract— The logarithmic number system (LNS) is an efficient way to represent data in VLSI processors because its round-off error behavior resembles that of floating point arithmetic. LNS reduce the power dissipation in signal-processing- related application such as hearing-aid devices, video processing and error control. This paper presents techniques for low- power addition/subtraction in the LNS and quantifies their impact on digital filter VLSI implementation. The operation of addition and subtraction are difficult to perform in LNS as complex look up tables (LUTs) are needed. The impact of partitioning the look-up-tables required for LNS addition/subtraction on complexity performance and power dissipation is quantified. LNS base and LNS word are the two design parameters exploited to minimize complexity. A round-off noise model is used to demonstrate the impact of base and word-length on SNR of the output of FIR filters. In addition, techniques for low-power implementation of an LNS multiply accumulate (MAC) units are investigated. The proposed techniques can be extended to co-transformation-based circuits that employ interpolators. The results are demonstrated by evaluating the power dissipation, complexity and performance of several FIR filter configurations comprising one, two or four MAC units. Simulation of placed and routed VLSI LNS-based digital filters using Xilinx ISE reveal that significant power dissipation savings are possible by using optimized LNS circuits at no performance penalty, when compared to linear fixed-point two’s- complement equivalents. Index Terms— Computer arithmetic, digital filter, MAC, LNS, LUT ——————————  —————————— 1 INTRODUCTION Data representation is an important parameter in the design of low-power processors since it affects both the switching activity and hardware complexity [4]. The logarithmic number system (LNS) has been investigated as an efficient way to represent data in special purpose VLSI processors, since it allows for simple arithmetic circuits under certain conditions. In particular, LNS exploits the properties of the logarithm to reduce the basic arithmetic operations of multiplication, division, roots, and powers to binary addition, subtraction, and right and left shifts, respectively. In addition to simplifying several operations, LNS provides efficient data representation because its round off error behavior resembles that of floating-point arithmetic. In fact, LNS- based systems have been proposed that exhibit characteristics similar to 32-bit single-precision floating-point representation [1]. The operations of addition and subtraction are rather awkward to perform in LNS as complex look-up tables (LUTs) or other approximation circuitries are needed. While for short word lengths simple techniques based on LUTs suffice, more elaborate approximation techniques are required for longer word lengths. 1.1 Existing System Several authors have proposed solutions to reduce complexity of awkward LNS operations. Mahalingam et al. [11] improve Mitchell’s Algorithm in terms of the accuracy of the logarithmic operations, while Johansson et al. [10] use a method based on sums of bit products to implement the basic logarithmic functions. Arnold et al. [2] suggest the use of co-transformations for the reduction of the LUT. Very recently, Ismail et al. [9] presented a co transformation procedure and an improved interpolation method that reduce the size of LUT to an extent that allows their easy synthesis in logic. Arnold et al. [3] propose complex LNS as a generalization of LNS, which represents complex values in log- polar form. For several practical applications, the benefits of LNS are found to be more important than its inherent disadvantages. In particular, several authors have shown that LNS reduces power dissipation in signal-processing-related applications, ranging from hearing-aid devices and sub band coding, to video processing and error control. Moreover, logarithmic techniques have been employed in turbo code decoding for wireless communication applications. In particular, logarithmic representation has been proved to be suited for the implementation of the symbol-by- symbol logarithmic maximum a posteriori algorithm used for iterative decoding. Peng et al. have adopted LNS for the implementation of an FFT based log-sum-product-decoding- algorithm used in decoding of non binary low-density parity check codes. In particular, the impact of the selection of the base b of the logarithm has been investigated as a means to explore tradeoffs between precision and dynamic range given a particular word length. Paliouras et al. address the low-power LNS properties from a representational viewpoint and do not focus on power dissipation estimation data obtained by circuit simulations. 1.2 Proposed System The proposed study focuses on the use of partitioning as a technique to limit the exponential growth of the size of LUTs with the word length. The technique is simple and leads to fast circuits. Initially, extending, optimal selection of LNS design parameters is sought, including word length and base assuming a simple
  • 2. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 18 partitioned LUT architecture. Subsequently, in the second stage of the proposed framework the design techniques and the derived architectures are presented, targeting LNS MAC units in 90-nm technology. To illustrate the use of the proposed framework, the area-time-power design space of a low-pass finite impulse response (FIR) filter is explored for several configurations of MAC units. A similar study has recently been performed by Galal and Horowitz in the different context of floating-point arithmetic [7]. Departing from direct LUT organization, a variety of LNS architectures for addition, subtraction, and multi-operand operations, has been proposed in the literature employing interpolation, linear or polynomial approximation aiming at reducing the memory requirements, particularly for larger word lengths, such as 32 bits [8]. These ideas have been combined with mathematical decompositions and transformations of the basic operations, exploiting the particular characteristics of the functions to further simplify approximation [9]. Beyond the representational properties of LNS that have an impact on switching activity, LNS arithmetic units have structural characteristics that can be exploited to reduce power dissipated. In particular, they comprise  mutually exclusive subunits, which can be used selectively, and  Imbalanced delay paths. Therefore, simple low-power design techniques are found to suit an LNS adder/subtractor organization very well; the impact is quantified for the case of lookup-based architectures, but other LNS architectures may benefit as well, in terms of reducing power dissipation. Extension to other architectures is demonstrated using an interpolation-based subtractor as an illustrative example. Partitioned LUT circuits provide high speed; more sophisticated techniques can be used to reduce size. In summary, the contributions of this paper are as follows:  a low-power design framework for LNS systems,  the quantification of power dissipation reduction and performance improvement made possible by using LNS ,compared to equivalent binary implementations,  the design space exploration using the number of LUTs for addition /subtraction as a parameter ,for the case of using combinational logic for LUT implementation, and  the extensions of SNR models in LNS for the case of b≠2. 2 LNS BASICS The basic idea in LNS is to use logarithms to represent data. Since the logarithm of a negative number is not real, to represent signed numbers in LNS, the sign information is stored as a separate bit sx, and used in combination with the logarithm of the magnitude of the number. Furthermore, since the logarithm of zero is not a finite number, an additional single-bit flag zx is used to denote that a number is zero. Summarizing, X denotes the original number, x denotes the logarithm of the absolute value of |X|, and XLNS is a triplet containing the sign bit, the zero bit and x. Formally in LNS, a number X is represented as the triplet χLNS = (zx ,sx ,x), (1) Where zx is asserted in the case that X is zero, sx is the sign of X and x=log b(|X|), if X is not zero, with b being the base of the logarithm, also called base of the representation. The choice of b plays a crucial role in the representational capabilities of the triplet in (1), as well as the computational complexity of the processing and forward and inverse conversion circuitry. Due to the basic properties of the logarithm, the multiplication of XLNS and YLNS is reduced to the computation of the triplet ZLNS ZLNS = (zx, sx, z), (2) Where zZ = zx V zy, sZ = sX xor sy, and z = x + y. Similarly, the case of division reduces to binary subtraction. The derivation of the logarithm a of the sum A of two triplets is more involved, as it relies on the computation of a =max{x,y} + logb(1+b-|x-y| ), (3) = max{x,y} + ϕa(d), (4) Where ϕa(d) = logb(1+b-d ) and d= |x-y|. (5) Similarly, the derivation of the difference of two numbers, requires the computation of c =max{x,y} + logb(1-b-|x-y| ), (6) = max{x,y} + ϕs(d), (7) Assume that a TC word is used to represent the logarithm x, composed of a k-bit integral part and an l-bit fractional part. The range DLNS spanned by x is given by (8) a linear TC representation of i integral bits and f fractional bits. In general, LNS offers a superior range, over the linear TC representation. This is achieved using comparable word lengths, by departing from the strategy of equispaced representable values and thus resorting to a scheme that resembles floating-point arithmetic. The basic organization of an LNS adder/subtractor is shown in Fig. 1. The parallel subtraction are implemented, followed by a multiplexer, which computes d according to the rule s1 = x – y, (9) s2 = y –x, (10) (11)
  • 3. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 19 Fig. 1. The organization of an LNS adder/subtractor The choice exploits the sign of either (9) or (10), as a select signal for the multiplexer. The same signal is used to select the maximum of x and y, required for the computation of (4) and (7). The complexity of LNS circuitry arises from the fact that the values of functions ϕa and ϕs should be computed by the LNS addition/subtraction circuit hardware for all required values of d. There are two main approaches to implement the evaluation of functions, namely the hardware implementation of an approximation algorithm or the offline pre-computation and storage of all required values in an LUT. The former approach is generally adopted for high-precision applications, while the latter approach is generally preferable for smaller word lengths, i.e., in relatively low-precision applications where the size of the required LUTs is moderate. Both approaches have been extensively studied in the context of elementary function approximation. Let x denote the base-b logarithm of X and x2 denote the base-2 logarithm of X. Since x = logbx = x2(logb 2), the conversion between a base-b LNS and a base-2 LNS requires scaling by a constant factor. Several authors have studied hardware implementations of converters to/from base-2 LNS. In this paper, although conversion is neglected the conclusions about power consumption are valid for the complete application. To better clarify this we assume an FIR filter of order N, requiring about NMAC operations for each input conversion and each output conversion. If Ein is the average energy for one input conversion, Eout is the average energy for one output conversion, and Ey is average energy for one MAC, the total energy (after initialization) for the FIR filter to produce each result is EFIR=Ein+Eout+N.Ey. For sufficiently large values of N, the percentage of energy consumed in the multiply-add units may approach 100 percent of the total as limN→∞ Ey/ EFIR =1.0. 3 LOW-POWER DESIGN OF LNS CIRCUITS In this section, low-power LNS architectures for addition and subtraction are presented. The memory structure is organized as a collection of LUTs and is the most complex part of the LNS adder/subtractor. Several designs were investigated, distinguished by two choices, i.e., first, the choice of using either latches or D flip-flops (DFFs) to freeze the addresses of inactive sub-LUTs, and, second, the choice to select the active sub-LUT either based on the most significant bits (MSB) or on the least significant bits (LSB) of d in (11). In the proposed design framework, power dissipation reduction is sought by partitioning the particular LUTs into smaller LUTs, called sub-LUTs, only one of which is active per operation. This organization is shown in Fig. 1. To guarantee that no dynamic power is dissipated in the inactive sub-LUTs, the corresponding sub-LUT addresses are latched and remain constant throughout a particular operation. Complexity reduction in LNS processors by partitioning of the LUTs has been successfully applied. Here, we focus on combinational logic implementation of LUTs, instead of memory-based implementation. The organization of the LNS adder/subtractor comprises N sub-LUTs per operation, as shown in Fig. 1. The upper sub-LUT system corresponds to function ϕa(d) required for LNS addition, i.e., addition of operands having the same sign, while the lower sub- LUT system is used for LNS subtraction, i.e., addition of operands of different signs. 3.1 Organization And Complexity Of LUT Subsystem In LNS Adder/Subtractor Assume that b denotes the logarithmic base and l is the number of the fractional bits employed in the representation of the logarithms. Therefore, differences among the values stored in LUT2 are limited to their less significant part; therefore, the less significant part is the only one that needs to be stored for each value. Hence, fewer bits per entry are required to be stored in LUT2 than in LUT1. Sub-LUTs that correspond to the upper parts of the interval need to store data words of reduced length, since stored values share a common most significant part. The possibility to determine the active sub-LUT using the LSBs of d is of interest, as LSBs are available early in the computation of d; thus, allowing the fast generation of selection signals. However, a partitioning scheme based on LSBs does not facilitate memory compression since consecutive function samples are stored in different sub-LUTs. 3.2 Implementation Of LNS Adder/Subtractor Fig. 2. Four-latch organization using LSB
  • 4. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 20 Fig.3. depicts a DFF-based architecture. It is noted that a latch-based gated clock is used for the DFFs, since additional signals are used to enable the corresponding flip-flops. Significant advantage is obtained since gated clocks achieve further power savings and also the problem of setup and hold time violations is easier to resolve, since glitches are avoided. Since the utilization of the MSB for LUT selection is not efficient for a latch-based design due to additional hardware used to introduce the required delay to fast paths of the circuit, a solution based on DFFs is preferable. Fig. 3. DFF organizations using the MSB 4 PROPOSED LNS MAC ARCHITECTURES Fig. 4. Organization of single-MAC architecture. The basic structure of the single-MAC unit is shown in Fig.4. Symbols * and + denote a multiplier and an adder, respectively, while D denotes a delay unit, implemented as a register. The LNS equivalent to single-MAC architecture is depicted in Fig.5, where the binary multiplier has been replaced by an adder, and the binary adder is mapped to an LNS adder/subtractor. The LNS adder/subtractor is augmented with saturation circuitry and exploits a zero flag to avoid unnecessary activation of LUT partitions and further reduce power dissipation. In the implementation of Fig.5, it is evident that the paths to the inputs of the final adder are not balanced; thus, leading to excessive switching activity at the adder following the memory structure. Fig. 5. LNS MAC unit. 5 RESULT ANALYSIS Fig. 6. Simulation of LNS MAC The result analysis is made to compare the area, power, and timing constraints between single MAC architecture and LNS MAC architecture. From the synthesized results, LNS MAC architecture produces better performance. The estimated result of LNS MAC Units using Xilinx ISE is shown below in Fig.6. 6 CONCLUSION The adoption of LNS can lead to very efficient circuits for digital filtering applications when appropriately selecting the logarithmic base and the word length in a contemporary 90-nm technology outperforming circuits based on TC arithmetic. An LNS-based system using the proposed adder/subtractor offers substantial power dissipation savings at no performance penalty. Partitioning of the LUTs is employed to create parts in the circuit that can be independently activated, thus, reducing power dissipation. Power has been reduced by latching the inputs to the LUTs. Furthermore, the gated clock technique has been used to further reduce power consumption performed to the latched inputs due to the clock signal. It has been shown that the choice of number of sub-LUTs is an important design parameter that can be
  • 5. INTERNATIONAL JOURNAL FOR TRENDS IN ENGINEERING & TECHNOLOGY VOLUME 5 ISSUE 2 – MAY 2015 - ISSN: 2349 - 9303 21 employed for exploration of the area, time, and power design space. Furthermore, the application of retiming is particularly useful in avoiding unnecessary switching activity, due to unbalanced delay paths in LNS arithmetic circuits. By properly defining wordlength, base, circuit architecture and LUT organization it has been shown that the LNS-based MACs can outperform the corresponding TC ones in both power and delay complexities, for specific practical word lengths. REFERENCES [1]. Arnold .M.G, Bailey .T.A, Cowles .J.R, and Winkel .M.D, (1992) ―Applying Features of the IEEE 754 to Sign/Logarithm Arithmetic,‖ IEEE Trans. Computers, vol. 41, pp. 1040-1050. [2]. Arnold .M.G, Bailey .A.T, Cowles .J.R, and Winkel .M.D, (1998) ―Arithmetic Co-Transformations in the Real and Complex Logarithmic Number Systems,‖ IEEE Trans. Computers, vol. 47, no. 7, pp. 777-786. [3]. Arnold .M and Collange .S, (2011) ―A Real/Complex Logarithmic Number System ALU,‖ IEEE Trans. Computers, vol. 60, no. 2, pp. 202-213. [4]. Chen .K.-H and Chiueh T.-D, (2006) ―A Low-Power Digit-Based Reconfigurable FIR Filter,‖ IEEE Trans. Circuits and Systems II: Express Briefs, vol. 53, no. 8, pp. 617-621. [5]. Coleman .J, Softley .C, Kadlec ,Matousek .J , Tichy .R .M, Pohl .Z, Hermanek .A, and Benschop .N, (2008) ―The European Logarithmic Microprocesor,‖ IEEE Trans. Computers, vol. 57, no. 4, pp. 532-546. [6]. Collange .S, Detrey .J, and Dinechin F.de, (2006) ―Floating-Point or LNS: Choosing the Right Arithmetic on an Application Basis,‖ Proc. Ninth Euromicro Conf. Digital System Design (DSD ’06), pp. 197-203. [7]. Galal .S and Horowitz .M, (2011) ―Energy-Efficient Floating- Point Unit Design,‖ IEEE Trans. Computers, vol. 60, no. 7, pp. 913-922. [8]. Henkel .H, (1989) ―Improved Addition for the Logarithmic Number System,‖ IEEE Trans. Acoustics, Speech, and Signal Processing, vol. 37, no. 2, pp. 301-303. [9]. Ismail R.C and Coleman J.N, (2011) ―ROM-less LNS,‖ Proc. IEEE Symp. Computer Arithmetic, pp. 43-51. [10]. Johansson .K .V, Gustafsson .O .S, and Wanhammar .L, (2008) ―Implementation of Elementary Functions for Logarithmic Number Systems,‖ IET Computers and Digital Techniques, 2008. [11]. Mahalingam .V and Ranganathan .N, (2006) ―Improving Accuracy in Mitchell’s Logarithmic Multiplication using Operand Decomposition,‖ IEEE Trans. Computers, vol. 55, no. 12, pp. 1523-1535.