Pasos para simular una mss en vhdl

Ivan Salazar C
Ivan Salazar CEstudiante en ESPOL à Ivan Salazar C

simulación de una máquina sincrónica en VHDL

Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Ejemplo:
1 library ieee;
2 use ieee.std_logic_1164 .all;
3
4 entity ejmss is
5 port( w : in std_logic;
6 clk, rstn : in std_logic;
7 z : out std_logic);
8 end ejmss;
9
10 architecture behaviour of ejmss is
11 type estado is (a,b,c);
12 signal y: estado;
13 begin
14 process(clk,rstn)
15 begin
16 if rstn = '0' then y <= a;
17 elsif (clk = '1' and clk'event) then
18 case y is
19 when a =>
20 if w = '0' then y <= a;
21 else y <= b; end if;
22 when b =>
23 if w = '0' then y <= a;
24 else y <= c; end if;
25 when c =>
26 if w = '1' then y <= c;
27 else y <= a; end if;
28 end case;
29 end if;
30 end process;
31 process(y,w)
32 begin
33 z <= '0';
34 case y is
35 when c => z <= '1';
36 when others => z <= '0';
37 end case;
38 end process;
39 end behaviour;
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl
Pasos para simular una mss en vhdl

Recommandé

Lecture16 par
Lecture16Lecture16
Lecture16elearning_portal
253 vues13 diapositives
Advanced QUnit - Front-End JavaScript Unit Testing par
Advanced QUnit - Front-End JavaScript Unit TestingAdvanced QUnit - Front-End JavaScript Unit Testing
Advanced QUnit - Front-End JavaScript Unit TestingLars Thorup
4.5K vues22 diapositives
Practica par
PracticaPractica
PracticaRené Domínguez
178 vues2 diapositives
BOHR (ボーア) フェスティバル ~文字のデザインから見るWebの未来~ par
BOHR (ボーア) フェスティバル ~文字のデザインから見るWebの未来~BOHR (ボーア) フェスティバル ~文字のデザインから見るWebの未来~
BOHR (ボーア) フェスティバル ~文字のデザインから見るWebの未来~type.center Management Association
2.5K vues57 diapositives
vignesh rajaraman (2) par
vignesh rajaraman (2)vignesh rajaraman (2)
vignesh rajaraman (2)Vicky Anu
111 vues7 diapositives
Notas faculdade par
Notas faculdadeNotas faculdade
Notas faculdadeGabriel Santos
346 vues3 diapositives

Contenu connexe

En vedette

Cataloguewpc par
CataloguewpcCataloguewpc
CataloguewpcHamid Hussain
75 vues16 diapositives
EMBA data scientist des métiers de l'assurance par
EMBA data scientist des métiers de l'assuranceEMBA data scientist des métiers de l'assurance
EMBA data scientist des métiers de l'assuranceJean-Jacques Branche
2K vues8 diapositives
Jurnal CDIMM nr. 3/2016_Europe Direct Maramures par
Jurnal CDIMM nr. 3/2016_Europe Direct MaramuresJurnal CDIMM nr. 3/2016_Europe Direct Maramures
Jurnal CDIMM nr. 3/2016_Europe Direct MaramuresMargareta Capilnean
1.1K vues4 diapositives
Jurnal CDIMM nr. 8/2016_Europe Direct Maramures par
Jurnal CDIMM nr. 8/2016_Europe Direct MaramuresJurnal CDIMM nr. 8/2016_Europe Direct Maramures
Jurnal CDIMM nr. 8/2016_Europe Direct MaramuresMargareta Capilnean
950 vues4 diapositives
Jurnal CDIMM_oct 2015_Europe Direct_Maramures par
Jurnal CDIMM_oct 2015_Europe Direct_MaramuresJurnal CDIMM_oct 2015_Europe Direct_Maramures
Jurnal CDIMM_oct 2015_Europe Direct_MaramuresMargareta Capilnean
1.3K vues4 diapositives
Didática par
DidáticaDidática
DidáticaCristiano Pereira
999 vues2 diapositives

Plus de Ivan Salazar C

Diagramas de bode par
Diagramas de bodeDiagramas de bode
Diagramas de bodeIvan Salazar C
1.9K vues26 diapositives
Hoja para diagrama de Bode par
Hoja para diagrama de BodeHoja para diagrama de Bode
Hoja para diagrama de BodeIvan Salazar C
501 vues1 diapositive
Lugar geometrico de las raices par
Lugar geometrico de las raicesLugar geometrico de las raices
Lugar geometrico de las raicesIvan Salazar C
10.7K vues156 diapositives
Test bench en vhdl par
Test bench en vhdlTest bench en vhdl
Test bench en vhdlIvan Salazar C
3.4K vues39 diapositives
Curva de par vs velocidad de un motor de inducción trifásico par
Curva de par vs velocidad de un motor de inducción trifásicoCurva de par vs velocidad de un motor de inducción trifásico
Curva de par vs velocidad de un motor de inducción trifásicoIvan Salazar C
2.6K vues3 diapositives
controles eléctricos industriales 2do. parcial par
controles eléctricos industriales 2do. parcialcontroles eléctricos industriales 2do. parcial
controles eléctricos industriales 2do. parcialIvan Salazar C
1.7K vues35 diapositives

Plus de Ivan Salazar C(12)

Lugar geometrico de las raices par Ivan Salazar C
Lugar geometrico de las raicesLugar geometrico de las raices
Lugar geometrico de las raices
Ivan Salazar C10.7K vues
Curva de par vs velocidad de un motor de inducción trifásico par Ivan Salazar C
Curva de par vs velocidad de un motor de inducción trifásicoCurva de par vs velocidad de un motor de inducción trifásico
Curva de par vs velocidad de un motor de inducción trifásico
Ivan Salazar C2.6K vues
controles eléctricos industriales 2do. parcial par Ivan Salazar C
controles eléctricos industriales 2do. parcialcontroles eléctricos industriales 2do. parcial
controles eléctricos industriales 2do. parcial
Ivan Salazar C1.7K vues
controles eléctricos industriales 1er. parcial par Ivan Salazar C
controles eléctricos industriales 1er. parcialcontroles eléctricos industriales 1er. parcial
controles eléctricos industriales 1er. parcial
Ivan Salazar C402 vues
Diseño de un sistema digital multiplicador par Ivan Salazar C
Diseño de un sistema digital multiplicadorDiseño de un sistema digital multiplicador
Diseño de un sistema digital multiplicador
Ivan Salazar C2.2K vues
Maquinas secuenciales sincronicas par Ivan Salazar C
Maquinas secuenciales sincronicasMaquinas secuenciales sincronicas
Maquinas secuenciales sincronicas
Ivan Salazar C1.2K vues
Volumen de una esfera sumergida parcialmente en un medio par Ivan Salazar C
Volumen de una esfera sumergida parcialmente en un medioVolumen de una esfera sumergida parcialmente en un medio
Volumen de una esfera sumergida parcialmente en un medio
Ivan Salazar C2.9K vues

Dernier

Drama KS5 Breakdown par
Drama KS5 BreakdownDrama KS5 Breakdown
Drama KS5 BreakdownWestHatch
71 vues2 diapositives
Are we onboard yet University of Sussex.pptx par
Are we onboard yet University of Sussex.pptxAre we onboard yet University of Sussex.pptx
Are we onboard yet University of Sussex.pptxJisc
77 vues7 diapositives
UWP OA Week Presentation (1).pptx par
UWP OA Week Presentation (1).pptxUWP OA Week Presentation (1).pptx
UWP OA Week Presentation (1).pptxJisc
74 vues11 diapositives
Computer Introduction-Lecture06 par
Computer Introduction-Lecture06Computer Introduction-Lecture06
Computer Introduction-Lecture06Dr. Mazin Mohamed alkathiri
71 vues12 diapositives
The basics - information, data, technology and systems.pdf par
The basics - information, data, technology and systems.pdfThe basics - information, data, technology and systems.pdf
The basics - information, data, technology and systems.pdfJonathanCovena1
88 vues1 diapositive

Dernier(20)

Drama KS5 Breakdown par WestHatch
Drama KS5 BreakdownDrama KS5 Breakdown
Drama KS5 Breakdown
WestHatch71 vues
Are we onboard yet University of Sussex.pptx par Jisc
Are we onboard yet University of Sussex.pptxAre we onboard yet University of Sussex.pptx
Are we onboard yet University of Sussex.pptx
Jisc77 vues
UWP OA Week Presentation (1).pptx par Jisc
UWP OA Week Presentation (1).pptxUWP OA Week Presentation (1).pptx
UWP OA Week Presentation (1).pptx
Jisc74 vues
The basics - information, data, technology and systems.pdf par JonathanCovena1
The basics - information, data, technology and systems.pdfThe basics - information, data, technology and systems.pdf
The basics - information, data, technology and systems.pdf
JonathanCovena188 vues
Scope of Biochemistry.pptx par shoba shoba
Scope of Biochemistry.pptxScope of Biochemistry.pptx
Scope of Biochemistry.pptx
shoba shoba124 vues
Narration lesson plan.docx par TARIQ KHAN
Narration lesson plan.docxNarration lesson plan.docx
Narration lesson plan.docx
TARIQ KHAN104 vues
11.28.23 Social Capital and Social Exclusion.pptx par mary850239
11.28.23 Social Capital and Social Exclusion.pptx11.28.23 Social Capital and Social Exclusion.pptx
11.28.23 Social Capital and Social Exclusion.pptx
mary850239281 vues
OEB 2023 Co-learning To Speed Up AI Implementation in Courses.pptx par Inge de Waard
OEB 2023 Co-learning To Speed Up AI Implementation in Courses.pptxOEB 2023 Co-learning To Speed Up AI Implementation in Courses.pptx
OEB 2023 Co-learning To Speed Up AI Implementation in Courses.pptx
Inge de Waard167 vues
Narration ppt.pptx par TARIQ KHAN
Narration  ppt.pptxNarration  ppt.pptx
Narration ppt.pptx
TARIQ KHAN119 vues
Community-led Open Access Publishing webinar.pptx par Jisc
Community-led Open Access Publishing webinar.pptxCommunity-led Open Access Publishing webinar.pptx
Community-led Open Access Publishing webinar.pptx
Jisc74 vues
Lecture: Open Innovation par Michal Hron
Lecture: Open InnovationLecture: Open Innovation
Lecture: Open Innovation
Michal Hron96 vues

Pasos para simular una mss en vhdl

  • 4. Ejemplo: 1 library ieee; 2 use ieee.std_logic_1164 .all; 3 4 entity ejmss is 5 port( w : in std_logic; 6 clk, rstn : in std_logic; 7 z : out std_logic); 8 end ejmss; 9 10 architecture behaviour of ejmss is 11 type estado is (a,b,c); 12 signal y: estado; 13 begin 14 process(clk,rstn) 15 begin 16 if rstn = '0' then y <= a; 17 elsif (clk = '1' and clk'event) then 18 case y is 19 when a => 20 if w = '0' then y <= a; 21 else y <= b; end if; 22 when b => 23 if w = '0' then y <= a; 24 else y <= c; end if; 25 when c => 26 if w = '1' then y <= c; 27 else y <= a; end if; 28 end case; 29 end if; 30 end process; 31 process(y,w) 32 begin 33 z <= '0'; 34 case y is 35 when c => z <= '1'; 36 when others => z <= '0'; 37 end case; 38 end process; 39 end behaviour;