SlideShare a Scribd company logo
1 of 65
Nabil Chouba http:// nabil.chouba.googlepages.com Semiconductor  overview
The Beginning   1947 : Point Contact Transistor  BELL LABS  :  Bardenn, Brattain & Shockley  * William Shockley : 1956 Nobel Prize in Physics
Integrated Circuit from 1960 to 2010 1961 First planer IC "flip-flop"   2010 IBM POWER7   transistors:  1.2 B   Invented by  Robert Noyce ,   Fairchild  *integrated circuit Invented by  Jack Kilby , Texas Instruments   *cmos 45 ,  5  GHz, cache,  D ual DDR3 memory controllers   Level 1 & 2 caches remain SRAM ,32MB  eDRAM  on-chip Level 3
Processor Evolution   1979  MOTOROLA 68000 the Most Powerful Âľp16-Bit  40k  transistors 1971  Intel 4004  The First Âľp  4-Bit 2,25k  transistors,24mm2 1976  Zilog   Z80  the Most Popular Âľp 8-bit 4,5k  transistors 1993  Intel Pentium 32 bit 3.1M  transistors 2003  AMD  Opteron  64 bit 233M  transistors 2008   AMD Barcelona Quad-Core 128 bit  463M  transistors ,283 mm2
Moore's Law : 1960 -Number of transistors on integrated circuit : Doubling every two years. -RAM storage capacity  &  Power consumption : Doubling every 18 months.  *Gordon Moore
Transistor Scaling i4004
Financier Impact of Moore Law *Price of Megabit in CMOS
Human Brain   In 2010, the semiconductor industry Manufactured roughly 1 billion transistors for every human on the planet;
CMOS technology ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
NMOS Transistor Basics 1. Cut-off Region:  no channel exists (iD = 0) for all values of VD. (VGS < Vt)  2. Triode Region:  The NMOS transistor is active and not “pinched off.”  This means the value of VDS affects the value of iD (VGS > Vt and VDS ≤ VGS – Vt).  3. Saturation Region:  The channel is “pinched off” because increases in VD have no affect on iD  (VGS > Vt and VDS > VGS – Vt)
Saturation Region Technology fixed parameters : Îź eff   : is the charge-carrier effective mobility, Cox   : is the gate oxide capacitance per unit area m  : is the Body effect Vt   : is the threshold voltage  Fixed by designer :  W  : is the gate width L   : is the gate length (L min  fixed by the Technology ) V gs  ( = Vdd)
NMOS & PMOS Transistor complementary and symmetrical pairs  of p-type and n-type MOSFETs transistor
CMOS NAND Gate  (back-end) Transistor Level Schematic Level Layout Level =0 = 1 =
ASIC FLOW (front-end) Schematic Block VHDL files Netlist Design Synthesis
Semiconductor Manufacturing   Sand Silicium   Wafer Die Packaging Chip Ingots
Manufacture/Making Ingots Czochralski process
Wafer ,[object Object],[object Object],[object Object]
Lithography  Process
Stepper Costing several hundred to several thousand million yen ASML, Ultratech, Nikon, Canon  - Early days of lithography used 456 nm wavelength light.  - Lithography today is using 193 nm wavelength light.
Interconnect Layer
Design Tor Test   Every chip are tested Teradyne tester ,[object Object],[object Object],[object Object],[object Object]
Defect on ASIC    Defect increase as cmos technology shrinks     Defect on metal 1 wire malfunction of wire bonding machine Number of defect  Transistor shrink Burnt part  During test.
TEST Cost Fabrication capital  versus  test capital.
Chip Failure Bathtub curve.
Power Dissipation   Thermal dissipation Traditional Power saving : -Lower the clock frequency (F clk ) -Lower the load capacity (C l ) -Lower the rail voltage (V dd ) Dynamic Power :  C l  V dd 2  P trans  F clk   Static Power :  leakage    gate thickness   New Power saving technique : -Power gating, Clock gating -Voltage & frequency scaling -Multi-voltage, Multi-threshold logic
TOP 10 from 1978 to 2008
Application Specific Integrated Circuit ASIC Semi- specific specific Programmable  FPGA Sea of gate Standard cell Full Custom SOC Image  sensor MEMS
MEMS (MicroElectroMechanical Systems)   ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
 
CMOS Image Sensors ,[object Object],[object Object],[object Object],[object Object]
Image Sensors (example) - 352 x 288 image array - 60 frames per second image capture - Advanced algorithms to : cancel Fixed Pattern Noise (FPN), Eliminate smearing, reduce blooming.  - Programmable I2C : control, gamma, gain, white balance, color matrix, windowing, and image output in either 4-, 8- or 16 bit digital formats
Full Custom ,[object Object],[object Object],[object Object],[object Object]
Sea of gates array ,[object Object],[object Object],[object Object],[object Object]
Advantages  : =>  mixed system possible ( analog/digital) =>  internal   flexibility =>  high density Disadvantages  : => middle cost =>  technology transistors / standard cell imposed and fixed   =>  complex   to master the technology   Sea of Gate  or  masked gate array (MGA)
Standard cell ,[object Object],[object Object],[object Object],[object Object],[object Object]
Advantages : =>  complete control of time parameters and electrical => mixed system possible ( analog/digital/memes ) => flexibility => very high density => Low Power, high speed techniques  Disadvantages  : => High cost  ( $20M and up for chips designed at 90nm)  => hard and  complex   to master the technology   =>  few companies (low competition) => High volume Product  ASIC Standard Cell
FPGA Ken Chapman (Xilinx UK) 2003 . Programmable Interconnect ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Advantages:  => Technology easy to master  => Reduced development time  => Reprogrammable for some (ideal for prototyping)  => Low cost   Disadvantages:  => Non-optimized performance  => Internal architecture completely frozen  => Only digital (with some exceptions) FPGA Field Programmable Gate Array
The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) Technology Timeline
FPGA Vs ASIC high moderate/high moderate /high All interconnection Weeks /months Weeks /months Standard  Cell Very high moderate low cost high moderate moderate speed high low Very low Density All interconnection none Masks manufactories All interconnection none Masks designs Weeks /months minutes/hours minutes/hours Modification time months /years Weeks /months days/weeks Development time  Full Custom Sea of  gate FPGA
FPGA Vs ASIC ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Examples of fixed costs: training cost  for a new  electronic design automation  ( EDA ) system  hardware and software cost  •  productivity  •  production test  and  design for test  •  programming costs  for an FPGA •  nonrecurring-engineering  ( NRE ) •  test vectors  and  test-program development cost  •  pass  ( turn  or  spin ) •  profit model  represents the  profit flow  during the  product lifetime  •  product velocity  •  second source
FPGA Vs ASIC A break-even analysis for an FPGA, a masked gate  array (MGA) and a custom cell-based ASIC (CBIC).   Cost parts Number of parts or volume $1.000.000 $100.000 $10.000 10 100 1000 10.000 100.000 break-even FPGA / CBIC break-even FPGA / MGA break-even MGA / CBIC CBIC MGA FPGA
FPGA Vs ASIC ASICs comprise three separate regions,  each with its own complexity, performance and cost characteristics.
Staggering Chip Design Costs ,[object Object],[object Object],[object Object],[object Object],[object Object]
Software-Differentiated Hardware
FPGA (review)  Ken Chapman (Xilinx UK) 2003 . Programmable Interconnect ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Market Forecast 15% FPGA provide the customizability of an ASIC without theneed to design and fab new devices for each platform. Xilinx has more software engineers than hardware engineers;  at Altera, the mix is roughly 50-50.
The Configurable Logic Blocks (CLBs) ,[object Object],[object Object],[object Object]
FPGA interconnect Logic  Block Switch  Block Wire Segment Programmable  Switch a c b e d f a=0  b=0  c=1  d=0  e=1  f=0 0  0  1  0  1  0 Programmable  FPGA Memory RAM/ROM c e
FPGA interconnect
Spartan-3/3E Family  Smallest Device - XC3S50  - XC3S100E 192 CLB 240 CLB 4 BRAM (18 KB each) 4 Multipliers Largest device - XC3S5000  - XC3S1600E 8320 CLB 3688 CLB 104 BRAM (18 KB each) 36 BRAM 104 Multipliers 36 Multipliers
Spartan-3 Product Matrix
Spartan-3 : Global Clock Network ,[object Object],[object Object],[object Object],[object Object]
Spartan-3 : Digital Clock Manager (DCM) ,[object Object],[object Object],[object Object],[object Object],[object Object]
Spartan-3 : RAM Block ,[object Object],[object Object],[object Object],Write Enable Clock Enable Set/Reset Clock Data Output Bus Parity Data Output Address Bus Data Input Bus Parity Data Input
Embedded RAM Operation
Spartan-3 : Dedicated Multipliers -Embedded multipliers that accept two 18-bit words as inputs  to produce a 36-bit product. - The input buses to the multiplier accept data in two’s-complement form (either 18-bit signed or 17-bit unsigned).
Additional cores  in FPGA The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com)
Additional cores  in virtex FPGA Virtex-5Q FPGA Family Members Virtex-II
Mixed-signal FPGA – Actel  - Fusion Family -  ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
EDA Tools 1) Functional Verification Duopoly - Synopsys Vera and Cadence SpecMan &quot;e&quot; 2) Formal Verification Alternatives - Jasper, Mentor 0-In, Synopsys Magellan, Cadence IFV, Real Intent 3) RTL Simulation Triopoloy - Mentor ModelSim, Cadence NC-Sim, Synopsys VCS 4) RTL Synthesis Monopoly - Synopsys Design Compiler Alternatives - Cadence RTL Compiler, Magma BlastRTL, OAsys 5) Equivalence Checking Duopoly - Cadence Verplex and Synopsys Formality
EDA Tools 6) Test/ATPG/Scan/BIST Duopoly - Mentor FastScan/DFT Advisor and Synopsys TetraMax Alternatives: LogicVision 7) Floorplanning Semi-monopoly - Cadence First Encounter Alternatives: Magma Hydra, Synopsys Jupiter, Atoptech Apogee 8) Place and Route Triopoloy - Synopsys ICC, Magma Talus, Cadence Encounter Alternatives - Atoptech, Mentor Sierra 9) RC Extraction Duopoly - Synopsys Star-RCXT and Cadence Fire&Ice Alternatives - Mentor Calibre-xRC, Magma QuartzRC, Sequence Columbus
EDA Tools 10) IR Analysis Semi-monopoly - Apache Redhawk Alternatives - Cadence VoltageStorm 11) DRC/LVS Monopoly - Mentor Calibre Alternatives - Synopsys Hercules, Magma Quartz 12) Static Timing Monopoly - Synopsys PrimeTime Alternatives - Cadence ETS, Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber, Magma QuartzTime 13) Signal Integrity Duopoly - Synopsys PT-SI and Cadence CeltIC Alternatives - Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber
EDA Tools 16) FPGA Duopoly - Mentor Exemplar and Synopsys Synplicity Alternatives - tools from Xilinx and Altera 14) SPICE Alternatives - Synopsys HSIM/HSPICE, Cadence Spectre, Magma FineSim, Mentor, Nascentric, Berkeley 15) Full Custom Monopoly - Cadence Virtuoso Alternatives - SpringSoft Laker, Magma Titan, Synopsys Orion 17) Emulators/Acceletors Monopoly - Cadence Palladium Alternatives - Mentor Veloce, EVE, Dini, Synopsys HAPS

More Related Content

What's hot

Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
Ashu0711
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
Manjushree Mashal
 
Cadence P-cell tutorial
Cadence P-cell tutorial Cadence P-cell tutorial
Cadence P-cell tutorial
Michael Lee
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)
Gridlogics
 

What's hot (20)

Analytical Modeling of Tunneling Field Effect Transistor (TFET)
Analytical Modeling of Tunneling Field Effect Transistor (TFET)Analytical Modeling of Tunneling Field Effect Transistor (TFET)
Analytical Modeling of Tunneling Field Effect Transistor (TFET)
 
Packaging of vlsi devices
Packaging of vlsi devicesPackaging of vlsi devices
Packaging of vlsi devices
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
 
Introduction to FinFET
Introduction to FinFETIntroduction to FinFET
Introduction to FinFET
 
2012 Introduction wire bonding
2012 Introduction wire bonding2012 Introduction wire bonding
2012 Introduction wire bonding
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
Cadence P-cell tutorial
Cadence P-cell tutorial Cadence P-cell tutorial
Cadence P-cell tutorial
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
 
ESD protection
ESD protection ESD protection
ESD protection
 
Fabrication process flow
Fabrication process flowFabrication process flow
Fabrication process flow
 
CNTFET
CNTFETCNTFET
CNTFET
 
OVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGINGOVERVIEW OF IC PACKAGING
OVERVIEW OF IC PACKAGING
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Layout02 (1)
Layout02 (1)Layout02 (1)
Layout02 (1)
 
EC6601 VLSI Design CMOS Fabrication
EC6601 VLSI Design   CMOS FabricationEC6601 VLSI Design   CMOS Fabrication
EC6601 VLSI Design CMOS Fabrication
 
BGR
BGRBGR
BGR
 
Gallium Nitride (GaN)
Gallium Nitride (GaN)Gallium Nitride (GaN)
Gallium Nitride (GaN)
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Totem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal DesignsTotem Technologies for Analog, Memory, Mixed-Signal Designs
Totem Technologies for Analog, Memory, Mixed-Signal Designs
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 

Viewers also liked

High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
ayubimoak
 
Terahertz trigate transistor
Terahertz trigate transistorTerahertz trigate transistor
Terahertz trigate transistor
Mrinal Pal
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges new
chiportal
 
Integrated circuit wafer
Integrated circuit waferIntegrated circuit wafer
Integrated circuit wafer
myklmafia
 
Challenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System DesignsChallenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System Designs
Manasa K
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors
Chinmay Chepurwar
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes
Design World
 

Viewers also liked (20)

High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
 
Terahertz trigate transistor
Terahertz trigate transistorTerahertz trigate transistor
Terahertz trigate transistor
 
Minibug slidedeck
Minibug slidedeckMinibug slidedeck
Minibug slidedeck
 
22nm tri-gate technology
22nm tri-gate technology22nm tri-gate technology
22nm tri-gate technology
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges new
 
3d transistor
3d transistor3d transistor
3d transistor
 
Semiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial ManySemiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial Many
 
Terahertz_Applications
Terahertz_ApplicationsTerahertz_Applications
Terahertz_Applications
 
Integrated circuit wafer
Integrated circuit waferIntegrated circuit wafer
Integrated circuit wafer
 
Trends in the Backend for Semiconductor Wafer Inspection
Trends in the Backend for Semiconductor Wafer  InspectionTrends in the Backend for Semiconductor Wafer  Inspection
Trends in the Backend for Semiconductor Wafer Inspection
 
Challenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System DesignsChallenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System Designs
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors
 
Intel 14nm aug11
Intel 14nm aug11Intel 14nm aug11
Intel 14nm aug11
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes
 
Lecture14
Lecture14Lecture14
Lecture14
 
Sushant
SushantSushant
Sushant
 
tri gate transistors
tri gate transistorstri gate transistors
tri gate transistors
 
Terahertz_An introduction
Terahertz_An introductionTerahertz_An introduction
Terahertz_An introduction
 

Similar to Semiconductor overview

Technology overview
Technology overviewTechnology overview
Technology overview
virtuehm
 
Resume201411
Resume201411Resume201411
Resume201411
Qing Jiang
 
Valladolid final-septiembre-2010
Valladolid final-septiembre-2010Valladolid final-septiembre-2010
Valladolid final-septiembre-2010
TELECOM I+D
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
VoThanhPhong3
 
00123160
0012316000123160
00123160
pani256
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
Chandan kumar
 
My profile
My profileMy profile
My profile
dhruv_63
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
Chandan kumar
 

Similar to Semiconductor overview (20)

Technology overview
Technology overviewTechnology overview
Technology overview
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overview
 
Resume201411
Resume201411Resume201411
Resume201411
 
Rf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revisedRf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revised
 
Valladolid final-septiembre-2010
Valladolid final-septiembre-2010Valladolid final-septiembre-2010
Valladolid final-septiembre-2010
 
vlsi
vlsivlsi
vlsi
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
 
00123160
0012316000123160
00123160
 
Basics of vlsi
Basics of vlsiBasics of vlsi
Basics of vlsi
 
basic vlsi ppt
basic vlsi pptbasic vlsi ppt
basic vlsi ppt
 
9.atmel
9.atmel9.atmel
9.atmel
 
Chapter 10.pptx
Chapter 10.pptxChapter 10.pptx
Chapter 10.pptx
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
My profile
My profileMy profile
My profile
 
Ic Technology
Ic Technology Ic Technology
Ic Technology
 
IC Technology
IC Technology IC Technology
IC Technology
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA Overview
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 

More from Nabil Chouba (7)

Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker
 
Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation
 
Count display VHDL tutorial
Count display VHDL tutorialCount display VHDL tutorial
Count display VHDL tutorial
 
VGA VHDL RTL design tutorial
VGA  VHDL   RTL design tutorialVGA  VHDL   RTL design tutorial
VGA VHDL RTL design tutorial
 
Uart VHDL RTL design tutorial
Uart VHDL RTL design tutorialUart VHDL RTL design tutorial
Uart VHDL RTL design tutorial
 
Elementary Âľprocessor tutorial
Elementary Âľprocessor tutorial Elementary Âľprocessor tutorial
Elementary Âľprocessor tutorial
 
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
 

Recently uploaded

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 

Recently uploaded (20)

GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Ransomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdfRansomware_Q4_2023. The report. [EN].pdf
Ransomware_Q4_2023. The report. [EN].pdf
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot ModelNavi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Navi Mumbai Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Apidays Singapore 2024 - Scalable LLM APIs for AI and Generative AI Applicati...
Apidays Singapore 2024 - Scalable LLM APIs for AI and Generative AI Applicati...Apidays Singapore 2024 - Scalable LLM APIs for AI and Generative AI Applicati...
Apidays Singapore 2024 - Scalable LLM APIs for AI and Generative AI Applicati...
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
MS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectorsMS Copilot expands with MS Graph connectors
MS Copilot expands with MS Graph connectors
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 

Semiconductor overview

  • 1. Nabil Chouba http:// nabil.chouba.googlepages.com Semiconductor overview
  • 2. The Beginning 1947 : Point Contact Transistor  BELL LABS : Bardenn, Brattain & Shockley * William Shockley : 1956 Nobel Prize in Physics
  • 3. Integrated Circuit from 1960 to 2010 1961 First planer IC &quot;flip-flop&quot; 2010 IBM POWER7 transistors: 1.2 B Invented by Robert Noyce , Fairchild *integrated circuit Invented by Jack Kilby , Texas Instruments *cmos 45 , 5 GHz, cache, D ual DDR3 memory controllers Level 1 & 2 caches remain SRAM ,32MB eDRAM on-chip Level 3
  • 4. Processor Evolution 1979 MOTOROLA 68000 the Most Powerful Âľp16-Bit 40k transistors 1971 Intel 4004 The First Âľp 4-Bit 2,25k transistors,24mm2 1976 Zilog Z80 the Most Popular Âľp 8-bit 4,5k transistors 1993 Intel Pentium 32 bit 3.1M transistors 2003 AMD Opteron 64 bit 233M transistors 2008 AMD Barcelona Quad-Core 128 bit 463M transistors ,283 mm2
  • 5. Moore's Law : 1960 -Number of transistors on integrated circuit : Doubling every two years. -RAM storage capacity & Power consumption : Doubling every 18 months. *Gordon Moore
  • 7. Financier Impact of Moore Law *Price of Megabit in CMOS
  • 8. Human Brain In 2010, the semiconductor industry Manufactured roughly 1 billion transistors for every human on the planet;
  • 9.
  • 10. NMOS Transistor Basics 1. Cut-off Region: no channel exists (iD = 0) for all values of VD. (VGS < Vt) 2. Triode Region: The NMOS transistor is active and not “pinched off.” This means the value of VDS affects the value of iD (VGS > Vt and VDS ≤ VGS – Vt). 3. Saturation Region: The channel is “pinched off” because increases in VD have no affect on iD (VGS > Vt and VDS > VGS – Vt)
  • 11. Saturation Region Technology fixed parameters : Îź eff : is the charge-carrier effective mobility, Cox : is the gate oxide capacitance per unit area m : is the Body effect Vt : is the threshold voltage Fixed by designer : W : is the gate width L : is the gate length (L min fixed by the Technology ) V gs ( = Vdd)
  • 12. NMOS & PMOS Transistor complementary and symmetrical pairs of p-type and n-type MOSFETs transistor
  • 13. CMOS NAND Gate (back-end) Transistor Level Schematic Level Layout Level =0 = 1 =
  • 14. ASIC FLOW (front-end) Schematic Block VHDL files Netlist Design Synthesis
  • 15. Semiconductor Manufacturing Sand Silicium Wafer Die Packaging Chip Ingots
  • 17.
  • 19. Stepper Costing several hundred to several thousand million yen ASML, Ultratech, Nikon, Canon - Early days of lithography used 456 nm wavelength light. - Lithography today is using 193 nm wavelength light.
  • 21.
  • 22. Defect on ASIC  Defect increase as cmos technology shrinks  Defect on metal 1 wire malfunction of wire bonding machine Number of defect Transistor shrink Burnt part During test.
  • 23. TEST Cost Fabrication capital versus test capital.
  • 25. Power Dissipation Thermal dissipation Traditional Power saving : -Lower the clock frequency (F clk ) -Lower the load capacity (C l ) -Lower the rail voltage (V dd ) Dynamic Power : C l V dd 2 P trans F clk Static Power : leakage  gate thickness New Power saving technique : -Power gating, Clock gating -Voltage & frequency scaling -Multi-voltage, Multi-threshold logic
  • 26. TOP 10 from 1978 to 2008
  • 27. Application Specific Integrated Circuit ASIC Semi- specific specific Programmable FPGA Sea of gate Standard cell Full Custom SOC Image sensor MEMS
  • 28.
  • 29.  
  • 30.
  • 31. Image Sensors (example) - 352 x 288 image array - 60 frames per second image capture - Advanced algorithms to : cancel Fixed Pattern Noise (FPN), Eliminate smearing, reduce blooming. - Programmable I2C : control, gamma, gain, white balance, color matrix, windowing, and image output in either 4-, 8- or 16 bit digital formats
  • 32.
  • 33.
  • 34. Advantages : => mixed system possible ( analog/digital) => internal flexibility => high density Disadvantages : => middle cost => technology transistors / standard cell imposed and fixed => complex to master the technology Sea of Gate or masked gate array (MGA)
  • 35.
  • 36. Advantages : => complete control of time parameters and electrical => mixed system possible ( analog/digital/memes ) => flexibility => very high density => Low Power, high speed techniques Disadvantages : => High cost ( $20M and up for chips designed at 90nm) => hard and complex to master the technology => few companies (low competition) => High volume Product ASIC Standard Cell
  • 37.
  • 38. Advantages: => Technology easy to master => Reduced development time => Reprogrammable for some (ideal for prototyping) => Low cost Disadvantages: => Non-optimized performance => Internal architecture completely frozen => Only digital (with some exceptions) FPGA Field Programmable Gate Array
  • 39. The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright Š 2004 Mentor Graphics Corp. (www.mentor.com) Technology Timeline
  • 40. FPGA Vs ASIC high moderate/high moderate /high All interconnection Weeks /months Weeks /months Standard Cell Very high moderate low cost high moderate moderate speed high low Very low Density All interconnection none Masks manufactories All interconnection none Masks designs Weeks /months minutes/hours minutes/hours Modification time months /years Weeks /months days/weeks Development time Full Custom Sea of gate FPGA
  • 41.
  • 42. Examples of fixed costs: training cost for a new electronic design automation ( EDA ) system hardware and software cost • productivity • production test and design for test • programming costs for an FPGA • nonrecurring-engineering ( NRE ) • test vectors and test-program development cost • pass ( turn or spin ) • profit model represents the profit flow during the product lifetime • product velocity • second source
  • 43. FPGA Vs ASIC A break-even analysis for an FPGA, a masked gate array (MGA) and a custom cell-based ASIC (CBIC). Cost parts Number of parts or volume $1.000.000 $100.000 $10.000 10 100 1000 10.000 100.000 break-even FPGA / CBIC break-even FPGA / MGA break-even MGA / CBIC CBIC MGA FPGA
  • 44. FPGA Vs ASIC ASICs comprise three separate regions, each with its own complexity, performance and cost characteristics.
  • 45.
  • 47.
  • 48. Market Forecast 15% FPGA provide the customizability of an ASIC without theneed to design and fab new devices for each platform. Xilinx has more software engineers than hardware engineers; at Altera, the mix is roughly 50-50.
  • 49.
  • 50. FPGA interconnect Logic Block Switch Block Wire Segment Programmable Switch a c b e d f a=0 b=0 c=1 d=0 e=1 f=0 0 0 1 0 1 0 Programmable FPGA Memory RAM/ROM c e
  • 52. Spartan-3/3E Family Smallest Device - XC3S50 - XC3S100E 192 CLB 240 CLB 4 BRAM (18 KB each) 4 Multipliers Largest device - XC3S5000 - XC3S1600E 8320 CLB 3688 CLB 104 BRAM (18 KB each) 36 BRAM 104 Multipliers 36 Multipliers
  • 54.
  • 55.
  • 56.
  • 58. Spartan-3 : Dedicated Multipliers -Embedded multipliers that accept two 18-bit words as inputs to produce a 36-bit product. - The input buses to the multiplier accept data in two’s-complement form (either 18-bit signed or 17-bit unsigned).
  • 59. Additional cores in FPGA The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright Š 2004 Mentor Graphics Corp. (www.mentor.com)
  • 60. Additional cores in virtex FPGA Virtex-5Q FPGA Family Members Virtex-II
  • 61.
  • 62. EDA Tools 1) Functional Verification Duopoly - Synopsys Vera and Cadence SpecMan &quot;e&quot; 2) Formal Verification Alternatives - Jasper, Mentor 0-In, Synopsys Magellan, Cadence IFV, Real Intent 3) RTL Simulation Triopoloy - Mentor ModelSim, Cadence NC-Sim, Synopsys VCS 4) RTL Synthesis Monopoly - Synopsys Design Compiler Alternatives - Cadence RTL Compiler, Magma BlastRTL, OAsys 5) Equivalence Checking Duopoly - Cadence Verplex and Synopsys Formality
  • 63. EDA Tools 6) Test/ATPG/Scan/BIST Duopoly - Mentor FastScan/DFT Advisor and Synopsys TetraMax Alternatives: LogicVision 7) Floorplanning Semi-monopoly - Cadence First Encounter Alternatives: Magma Hydra, Synopsys Jupiter, Atoptech Apogee 8) Place and Route Triopoloy - Synopsys ICC, Magma Talus, Cadence Encounter Alternatives - Atoptech, Mentor Sierra 9) RC Extraction Duopoly - Synopsys Star-RCXT and Cadence Fire&Ice Alternatives - Mentor Calibre-xRC, Magma QuartzRC, Sequence Columbus
  • 64. EDA Tools 10) IR Analysis Semi-monopoly - Apache Redhawk Alternatives - Cadence VoltageStorm 11) DRC/LVS Monopoly - Mentor Calibre Alternatives - Synopsys Hercules, Magma Quartz 12) Static Timing Monopoly - Synopsys PrimeTime Alternatives - Cadence ETS, Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber, Magma QuartzTime 13) Signal Integrity Duopoly - Synopsys PT-SI and Cadence CeltIC Alternatives - Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber
  • 65. EDA Tools 16) FPGA Duopoly - Mentor Exemplar and Synopsys Synplicity Alternatives - tools from Xilinx and Altera 14) SPICE Alternatives - Synopsys HSIM/HSPICE, Cadence Spectre, Magma FineSim, Mentor, Nascentric, Berkeley 15) Full Custom Monopoly - Cadence Virtuoso Alternatives - SpringSoft Laker, Magma Titan, Synopsys Orion 17) Emulators/Acceletors Monopoly - Cadence Palladium Alternatives - Mentor Veloce, EVE, Dini, Synopsys HAPS