SlideShare a Scribd company logo
1 of 63
Download to read offline
Low Power Design
 And Verification
       Steven E. Schulz
          President and CEO
            March 26th, 2008
            DVclub San Jose

   Si2 - Innovation Through Collaboration
Today’s Agenda




Si2 – Innovation Through Collaboration   2
Today’s Agenda

                             • Why Low-Power Now?
                             • Design and Verification
                              Flow Challenges / Reqts
                             • Common Power Format
                               Introduction / Examples
                             • Industry / Market Adoption
                              and Silicon Benefits
                             • Introduction to the Low
                              Power Coalition
                             • 2008 Roadmap / Plans
                             •Q&A

Si2 – Innovation Through Collaboration                   3
2001 International Technology Roadmap for Semiconductors

                Possible kink in dynamic power             Dynamic
                                                           power

                                                           Sub-
                                                           threshold




                                      Here We are
                                                           Gate
                                                           Junction


                     Junction
                       Leakage




                     P = ACV2f + VIleak

                                     Page 3
Power Motivation and Requirements
Mobile applications trends:

    • Leakage is significantly increasing due to process scaling

    • Active power increases due to application integration (with the subsequent
    exponential increase in leakage). Current density is also on the increase.

    • Active leakage is now a significant portion of SoC active power budget.

    • Sleep mode techniques need to be enhanced and enabled in a consistent
    fashion throughout the design flow

    • We need a concerted effort applied to leakage minimization at the micro-
    architectural, system and software level.

    • Process variation now limits how much we can voltage scale and how we
    do our power accounting, and therefore new strategies need to be develop
    to capture these constraints, and enhance our current scaling
    approaches/methodologies.

                                       Page 2
Ultra-Low-Power Applications
           Addressing a Wide Range of Requirements




Slide 18      © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
Processor design for Power Efficiency:
Different needs for different markets
• Server market:
    – Defining property: Server processors are rarely idle.
    – Power goal: Increase MIPS/Watt in Power State C0 (ACPI).
• Mobile market:
    – Defining property: Laptop processors are mostly idle.
    – Power goal: Reduce power in C2/C3 power states.
• Techniques:
    –   Clock gating
    –   Multiple power domains
    –   Multiple threshold voltages
    –   Headers/footers
    –   Operand Isolation (holding cell inputs stable when output is unused)
    –   Dynamic voltage and frequency scaling
    –   And others…




3                  October 5, 2006
PowerSmart™ -- Low Power
Design Methodology

                                                                                        Integrated
  1.5V OP buffer                                    Power switch
                                                                                        clock gated cell
                                                                           en
                        1.5V OP                                            clk          o

     3.3V                1.2V
            Regulator    1.0V
                         0.8V
                                             1.0V                                1.2V
  Multi-output                                                 Isolation cell
  regulator                        Vdd        Vdd_UPS


                Retention           D        Q


                flip-flop               ck
                                                                                   Multi-Vt
                                                        Multi-VDD                  library            Multi-VDD
        Level shifter                                   library
                                  Level shifter                                                       Power Islands

                                                        SRAM
                                                                                                      Clock Gating

                                    0.8V                             Low power                        Multi-Vth (Fusion)
                                                                     IP
                                                                                                      Low Power IP



  Excel Your Idea to Silicon                                                                                               10
Power analysis challenges:
More complex than timing analysis
•   It is pattern-dependent.
     –   Circuit and gate-level power analysis require good RTL-level patterns for accurate
         results.
•   It is a balancing act. (power efficiency)
     –   Performance per watt (efficiency) is the metric, not Watts. Need to find blocks or
         nets that consume power without appropriate performance benefit.
     –   Many tools sort blocks and nets by total power consumption not
         performance/watt. (E.g. clock nets burn a lot of power, but we already knew
         that)
•   It is an aggregate (time and space) and a user-defined
    constraint.
     –   Power analysis types: average power (for budgeting & package selection), energy
         (for battery life), peak power (IR drop analysis), etc.
     –   E.g. Briefly higher localized power consumption can be tolerated for package
         selection, unless it exceeds limits.
•   It requires coordination of data from physical design, gate design,
    RTL, and verification domains.
     –   It requires knowledge in all these domains to cross-check results.
•   Must allow for accuracy to be improved over time.
     –   Detailed circuit-level power analysis data often comes too late in the design cycle.




4                     October 5, 2006
Other related issues: DFT and Timing

• Are scan paths hooked up in the RTL? Are they
  simulated in the Verilog? How are they verified?
• How do you analyze power consumption in scan
  mode?
• Timing also needs to know about the multiple
  voltage domains and operating points.
• Need to work on timing and power in one
  environment to achieve correct optimization and
  trade-offs.




11           October 5, 2006
Current state …
      •   Debugging capabilities are very poor
              • Capacity issues
              • Complexity issues
              • Reporting weak and misleading
      •   Functional correctness difficult to verify
      •   Tools are mostly in Gatelevel, should be in RTL
              • Important is to have accuracy for RTL or otherwise it is not useful
      •   All tools using different description for PM
              • PM configurations currently having thousands of statements in SoC level
              • No automation; It is designers responsibility to verify that all definitions are
                done correctly
              • Because updates for these definitions are done quite seldom, it is difficult to
                keep in mind complex configurations
              • There is no automation for PM definitions verification
      •   Design hierarchy presentation varies in configuration files between tools, also
          between RTL and gate in same tool. Syntax is effected by scripting languages
          like perl and tcl




4   © NOKIA   UPF Workshop / Oct 2006 /Naula
Flow and Methodology Requirements


The verification flows need to enable:


   •  a voltage aware simulation method for logic problems due to
     voltage island partitioning
   • a method for full design multi-voltage domain analysis and
     reporting
   • a vector-less rule driven analysis of architecture, RTL, and gate
     correctness
        • a method for equivalence checking (i.e. across voltage states )
   •    a method that captures Island ordering
   •    a method that incorporates early detection of micro-architecture
       sequence errors


                                     Page 5
System Aspects require differing views
          Layout
          Algorithm
          Bus Architecture
          Implementation
          Power
          Source
          Temperature
          Refinement
          Security
          Address Space
          Documentation




Slide 8
Address space
          Layout
          Algorithm
          Bus Architecture
          Implementation
          Power
          Source
          Temperature
          Refinement
          Security
          Address Space
          Documentation




Slide 9
Aspect View: Bus Architectural layout
           Layout
           Algorithm
           Bus Architecture                  CPU
                                             CPU
                                                            DMA
                                                            DMA          FLASH
                                                                         FLASH
           Implementation     PLL
                              PLL
           Power
                            Processor Bus
           Source
           Temperature
           Refinement                        Bridge
                                             Bridge         DMA
                                                            DMA          SRAM
                                                                         SRAM
           Security         Peripheral Bus
           Address Space
           Documentation
                                 …
                                 …       Ethernet
                                         Ethernet     USB
                                                      USB         UART
                                                                  UART    GPIO
                                                                          GPIO



Slide 10
Hierarchical view of Energy Conservation

           Software                      Dynamic system monitoring and intelligent control of energy
           Definitions                   savings, work load profiling, [dvfs], profiling and partitioning


       Platform                          Power Trees/Voltage islands, Connectivity of components & consistent
                                         platform power modes, intelligent bus coding, dependency
       Definitions                       discovery/optimization


       Architectural                     Heterogeneous processing resource optimization: MCU, DSP,
       Definitions                       accelerators, functional processing units, memory usage
                                         optimization

       Design                           Hardware support for voltage islands, power gating, low-
       Definitions                      power idle modes, SRPG, AWB, DVFS, DPTC, clock gating


       PROCESS node                      Transistor design, Vt Optimization, memory bitcell design.
       Definitions                       Special circuits, libraries, custom and analog blocks, SOI




            Thanks to Milind Padhye, Freescale Semiconductor, Austin Wireless Design Center
Slide 17
Low Power Design Needs
           Support Low Power Design Techniques thru the entire design
           flow using a single file format.
              Design Representation
               –   Accurately define and capture the low power design intent, modes and
                   constraints.
              Design Implementation
               –   Floorplan and power grids.
               –   Common constraints for all tools (Synthesis, APR, timing, DFT)
               –   Design analysis tools with single power constraints.
               –   Accurate power estimation and measurements
              Design Verification
               –   Voltage oriented simulators
               –   Various static power technique modeling and simulations.
               –   Silicon validation and correlation.




Slide 18
Low power implementation : What’s new ?
 Becoming mainstream:
   – For 65nm and below , Low power is crucial for low/high performance.
 So far:
   – For dynamic power
       • Reducing power dissipation source when not needed.
       • Minimize switching capacitances.
   – For static power
       • Use of multiple Vt(s) synthesis / optimization
 More recently:
   – Reducing supply reduces power, but also makes circuit slower. To meet both
     chip performance requirements and power goals, use voltage islands and
     voltage and frequency scaling.
   – Leakage can also be addressed by suppressing current when not needed.

      Island of voltages increases the difficulty on implementation
     techniques.
      Intrusive on functionality
      Impact across design tasks ( Design-In and Implementation )

                                                                                                                     3
                                           Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
Design implementation challenges
  New cells and their use model
   –   Level Shifters
   –   Retention logic
   –   Isolation logic
   –   Micro Switches
  Impacts at all levels of the design flow
   – Interface logic design, partitioning
   – Verification of power modes
   – Checks on interfaces between Power domains
   – Placement of IP in context voltage islands
   – Floorplanning with switches, Irdrop across switches, transient
     behavior.
   – DFT
   – Verification (STA, LVS, analysis)
  Conceptual shift : Power nets become functional signals


                                                                                                                4
                                      Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
Methodology and design flow impacted




                                                                                               6
                     Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
Short Term need ( 2) – Fill hole in Verification

 Low leakage design techniques have created a real
 paradigm shift.
 Power and ground nets are now becoming functional nets.
 They are not all explicitly in RTL or netlist levels.
 Proper connection of any other functional nets is verified by
 functional simulation….against the RTL or netlist.
 Being able to verify the power down modes , retention,
 recovery at power-on, etc in the context of RTL simulation is
 becoming mandatory.
 Verification tools should be power modes aware.

                                                                                                            10
                                   Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
For IP, context is key
                                          “Always-on” depends on
Memory                                    context
               Processor                     Buffer within CPU
                                             SoC buffer routed across
                                             CPU
                                 SoC
                                          Characterization range is
                                          important
                                             Cells, memory could be
                                             different
                                          Complex features
                                             Multiple VDD, VSS pins
                                             Multiple operating
Don’t want formats limiting IP features      voltages
                                             Voltage dependent
                                             behavior
                                             Closed-loop behavior
                                             (tunable voltage)

                                                          6
Canonical design to argue over…….
   Start with a realistic example to exercise interfaces and control
       Power and Ground are signals – but not as we know them……..
       Power Gating, Retention, (Dynamic) Voltage Scaling, Level shifters, Memory…
       Isolation clamps across boundaries, a number of supply voltages
   e.g. a SOC with always powered logic plus:
                                                           VCPU                            VRAM
VSOC




                                                                                     RAM




                                                             RETAIN
                                RETAIN


                                                                                with Core
                                                                               Retention
           PG
                                                       CPU                    (& additional
          sub-                                                                power rail?)
                           SRPG                        DVFS
         system
                        subsystem                      (& LV
                                                    retention?)




                                                                                2
Addressing power management challenges
 Operational and Standby (leakage)
    Active power + leakage


 Power gating/voltage scaling
    On-chip – fast but with care to avoid dI/dt problems
    Off-chip – may add latencies as long as 100’s of microseconds


 Need to be able to quantify
    Real-time cost (e.g. interrupt latency) in “wake-up” times
    Energy cost functions getting into/returning from power saving states




                                                                 14
Legacy Core
                                  VDD




                          Legacy Core




                                  VSS

Slide 6     © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
Traditional Design Flow


          Architecture          Placement &                        Timing           Clock
            Design              Optimization                     Verification      Synthesis


HW/SW Co-
                                Floorplanning                                     Optimization
 Design


                                                                   Logic           Routing &
          RTL Design               Synthesis
                                                                 Verification     Optimization


                                                                                   Sign-off:
                                                                                   DRC/LVS



Slide 7                  © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
Techniques Relevant To IP

          Right size libraries
            – Smaller transistors lead to smaller parasitics
            – Performance trade-off
          Multi-Vt libraries
            – Right Vt for the right paths at the right performance
            – Effectively used to control leakage
            – Increases the number of libraries needed to implement the design
          Voltage Islands
            – Requires updates to deal with multiple power supplies and associated conditions
            – Requires special level shifting components to implement
          Power Gating/On-Chip Regulation
            – Requires special power gating cells/regulation cells
            – Need to deal with “derived” power nets
            – Need to deal with POR cycle
          Substrate Bias
            – Requires dealing with multiple power supplies and possibly “negative” power supplies
            – Requires special level shifting components to implement


Slide 9                     © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
So What Changes? … Everything …
                          VDD                     VDD




                                      Voltage Island
           VSS         Voltage Island        &                            VDD1
                         Support      State Retention
           VDD                            Support
                                                                          VSS
                          Low Power Core
                           On Chip
           VSS
                       Regulation Support


                               Voltage Island &                           VDD2
                               Back Bias Support




                             VSS             VSS1

Slide 10         © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
So What Changes? … Everything …


           Architecture          Placement &                        Timing           Clock
             Design              Optimization                     Verification      Synthesis


HW/SW Co-
                                 Floorplanning                                     Optimization
 Design


                                                                    Logic           Routing &
           RTL Design               Synthesis
                                                                  Verification     Optimization


                                                                                    Sign-off:
                                                                                    DRC/LVS
                             Power
                          Sign-off Spec
Slide 12                  © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
PowerSmart™ -- Low Power
Design Methodology

                                                                                        Integrated
  1.5V OP buffer                                    Power switch
                                                                                        clock gated cell
                                                                           en
                        1.5V OP                                            clk          o

     3.3V                1.2V
            Regulator    1.0V
                         0.8V
                                             1.0V                                1.2V
  Multi-output                                                 Isolation cell
  regulator                        Vdd        Vdd_UPS


                Retention           D        Q


                flip-flop               ck
                                                                                   Multi-Vt
                                                        Multi-VDD                  library            Multi-VDD
        Level shifter                                   library
                                  Level shifter                                                       Power Islands

                                                        SRAM
                                                                                                      Clock Gating

                                    0.8V                             Low power                        Multi-Vth (Fusion)
                                                                     IP
                                                                                                      Low Power IP



  Excel Your Idea to Silicon                                                                                               10
Low Power Design Without A Power Format
                      Command file                                                                                Specification                                                               MSV
                      •Domains                                                                                Function, timing, power                                                        SRPG
                                                                                                                                                                                              PSO           How do you verify

                                                                                                                                                     ?
                      •Level shifters
                      •Isolation                                                                                                                                                             DVFS          power functionality
                      •SRPG                                                                                                                                                                              without changing RTL?
   Command file                            Design Creation                                             Iterate                        RTL        Iterate                                  Verification
   •Domains                                              Constraint                                                                  Coding                                                  Formal




                                                                                                                                                                                                           Testbench Automation
   •Level shifters                                       Generation                                                                                                                         Analysis




                                                                                                                                                                Verification Coverage
                                Equivalence Checking




                                                                              Constraint Validation
   •Isolation
   •SRPG
                                                         Synthesis
                                                                                                                                                                                           Simulation
Command file                                           Design for Test
•Domains
                                                                                                                                                                                          Acceleration
                                                            SVP                                                                                                                           & Emulation
    Command file
    •Domains
    •Level shifters                                                                                   Constraints                                     Netlist
    •Isolation
    •SRPG                     Command file
                              •Domains                                                                 Physical Implementation
                              •Level shifters
                                                                                                       Equivalence LVS/DRC/Ext




                                                                                                                                  Chip Integration
                              •Isolation
                                                                                                        checking




                                                                                                                                   Prototyping
                                                                         Constraint Validation




                              •SRPG                                                                                                                                                               Command file


                                                                                                                                                         DFT
                                                                                                                                                                                                  •Domains
   Which one of                                                                                                                  Physical Synthesis                                               •Modes for ATPG




                                                                                                                                                                               Analysis
 these is “golden”? Command file
                           •Domains
                           •Level shifters                                                                                            Routing
                                                                                                                                                                                                            Does the power
                                                                                                                                                         ATPG

                           •Isolation
                           •SRPG                                                                                                                                                                          shutoff really going to
                                                                                                                                      Sign-off                                                                    work?

 Innovation Through Collaboration
 Innovation Through Collaboration – Low Power Coalition                                                                                                                                                                           –3–
                                                                                                                                       GDSII
What Was the Problem?

         Logic is “Connected”                                        Power is Not “Connected”
         Formal                                                           Formal
                           Simulation         Hardware                                     Simulation        Hardware
        Analysis                                                         Analysis
         Parser              Parser             Parser                    Parser             Parser            Parser



Management                                          Synthesis    Management                                        Synthesis
  Parser                                                Parser     Parser                                              Parser


                            Logic                  Equivalence                               Power
                                                                                              Power               Equivalence
           Parser




                                                                            Parser
  SVP                    Information                Checking       SVP                    Information
                                                                                           Information             Checking
                           (Verilog)                                                            (CPF)
                                                                                          (no consistency)
                                                        Parser                                                         Parser


  Parser                                                           Parser
                                               Parser




                                                                                                              Parser
   P+R                                                    Test                                                           Test
                                                                    P+R



                    IP                 Libraries                                     IP               Libraries


              Can be Automated                                        Very Difficult to Automate

 Innovation Through Collaboration
 Innovation Through Collaboration – Low Power Coalition                                                                     –4–
Si2 CPF Standardization

                                            ● Dec 4, 2006
                                                    Cadence contributed CPF v1.0 to Si2
                                            ● January 12, 2007
                                                    LPC members unanimously voted and
                                                    approved CPF v1.0 as Si2 Specification for
                                                    low power standard
                                            ● January 17, 2007
                                                    Cadence contributed CPF v1.0 parser source
                                                    code to Si2
                                            ● March 5, 2007
                                                    CPF 1.0 available to everyone at no cost as a
                                                    Si2 standard




Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                       –8–
Common Power File
                                                                         ASCII file to capture
 ● Design intent and constraints
         Power domain
             Logical: instances as domain members
             Physical: power/ground nets and connectivity
             Analysis view: timing library sets for power domains
         Power Logic
             Level Shifter Logic
             Isolation Logic
             State-Retention logic
             Switch Logic & Control Signals
         Power mode
             Mode definitions
             Mode transition definitions
 ● Technology information
         Level Shifter Cells, Isolation Cells, State-Retention Cells, Switch Cells, Always On Cells




Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                                – 10 –
CPF Language
 ● CPF is TCL-based.
 ● CPF Language = TCL commands + CPF objects + Design objects
             Power domain
             Analysis view
             Delay corner
             Library set
             Operating condition
 ● Design objects: objects that already exist in the RTL/gate netlist
             Module, Instance, Net, Pin, Port
 ● Commands – 42 commands
             set_* commands [version, scope, and general commands]
             define_*_cell commands              [library cell description]
             create_*_rule commands              [design intent]
             update_*_rules commands             [implementation directives]



Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                 – 11 –
Minimal Command Set For Different Design Stages


       create_power_domain                               Specify power intents
       create_nominal_condition                              verification and simulation
       create_power_mode                                     design exploration
                                                             early power estimation
       create_state_retention_rule
       create_isolation_rule
       create_level_shifter_rule
                                                         More implementation details
       define_library_set                                    synthesis
       update_nominal_condition                               formal verification
       update_power_mode                                     DFT, ATPG,
                                                              gate level power estimation

       create_ground_nets
       create_power_nets                                 Complete physical implementation
       update_power_domain
                                                         details
                                                              silicon virtual prototyping
       create_power_switch_rule
                                                               power planning
       create_analysis_view                                    physical synthesis
       create_operating_corner                                 structural verification
                                                               sign-off power analysis
Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                      – 12 –
Specify Power Mode Transitions
                                                                           PM2
          PDcore     PDau       PDlu      PDalu       PDrf
 PM1       1.2v       1.2v      1.2v       1.2v       1.2v           PM1         PM3
 PM2       0.8v       off       1.2v       1.2v       1.2v
 PM3       0.8v       off        off        off        1.2
                                                                           PM4
 PM4       0.8v       1.2v      1.2v       1.2v        off

          create_mode_transition -name PM1toPM2 –from_mode PM1 –to_mode PM2 
             -start_condition { pcu_inst/ctrl[0] & pcu_inst/ctrl[1] }
             -clock_pin { pcu_inst/clk } –cycles 100
          create_mode_transition -name PM2toPM3 –from_mode PM2 –to_mode PM3 
             -start_condition { pcu_inst/ctrl[0] & !pcu_inst/ctrl[1] }
             -clock_pin { pcu_inst/clk } –cycles 1000
          create_mode_transition -name PM3toPM4 –from_mode PM2 –to_mode PM3 
             -start_condition { !pcu_inst/ctrl[0] & pcu_inst/ctrl[1] }
             -clock_pin { pcu_inst/clk } –cycles 1000
          create_mode_transition -name PM4toPM1 –from_mode PM2 –to_mode PM3 
             -start_condition { !pcu_inst/ctrl[0] & !pcu_inst/ctrl[1] }
             -clock_pin { pcu_inst/clk } –cycles 200

Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                 – 24 –
Low Power Design Verification Using CPF

● No need to specify power or ground nets at RTL stage
● No need to specify implementation related constraints at this stage such
  as library, timing constraints etc
● Minimal set of CPF commands for front-end designers to use
      Simulation tools
           to simulation power domain on and off
           to simulate power mode transitions for DVFS
      Coverage tools
           to check power mode coverage
           to check power mode transition coverage
      Assertion tools
           to generate power domain and mode aware assertions
      Verification tools
           to check for the correctness and completeness of CPF



Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                  – 27 –
Low Power Logic Implementation and Verification Using CPF


● Still, no need to specify power or ground nets at this design stage
● Minimal set of CPF commands for designers to use
      Logic synthesis tools
           to synthesize isolation, level shifter and state retention logic
           to perform power domain aware logic synthesis
           to perform power mode aware (DVFS) synthesis
      Test synthesis tools
           to perform power domain and power mode aware DFT synthesis
           to generate power domain aware test control logic
      Formal Verification tools
           to check the correctness of low power structural implemented by synthesis tools
           to perform low power equivalency checking (RTL+CPF vs Netlist)
      Simulation tools
           to perform power aware gate level simulation
           to generate additional assertions for gate level simulation
      Analysis tools
           to perform power domain aware and power mode aware power analysis


Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                       – 33 –
CPF Enabled Low Power Design Flow
                                                                                                          Specification
                                              Re-use pre-                                             Function, timing, power
                                                                                                                                                                                   Quick architectural exploration
Instantiate single
RTL with different
  power profiles
                                               verified IP
                                                                                                                                         ?                                                                              Functionally verify
                                                                                                                                                                                                                         advanced power
                                   Design Creation                                             Iterate RTL + CPF Iterate                                                        Verification
                                                                                                         RTL                                                                                                              implementation
                                          b
                                       Constraint                                                                            Coding                                                 Formal                                  techniques
                                                                                                                                                                                   Analysis




                                                                                                                                                                                                 Testbench Automation
                                                                                                                                                        Verification Coverage
                                                 Generation
                       Equivalence Checking




                                                                      Constraint Validation
                                                                                                                                                                                  Structural &
                                                  Synthesis                                                                                                                      Funct. Checks


                                               Design for Test                                                                                                                    Simulation


                                                                                                                                                                                 Acceleration
                                                    SVP                                                                                                                          & Emulation


                                                                                              Constraints                       CPF           Netlist                                      Hand off to drive physical
                                                                                                                                                                                               implementation
       Golden                                                                                  Physical Implementation
     specification
                                                                                               Equivalence LVS/DRC/Ext




                                                                                                                          Chip Integration
                                                                                                checking




      eliminates                                                                                                           Prototyping                                                    Automatic partitioning of
                                                                 Constraint Validation




                                                                                                                                                 DFT
   assumptions and                                                                                                                                                                            power domains
                                                                                                                         Physical Synthesis




                                                                                                                                                                    Analysis
  miscommunications
       Single power                                                                                                           Routing                                                     Automatic scheduling of
                                                                                                                                                                                               test modes
                                                                                                                                                 ATPG


  specification used from
                                                                                                                              Sign-off
   specification to GDSII
   Innovation Through Collaboration
   Innovation Through Collaboration – Low Power Coalition                                                                                                                                                                         – 45 –
                                                                                                                               GDSII
Continued Industry Wide Adoption of CPF


         1Q2007                        2Q2007                 2H2007

                                          Reference
                                          Flow 8.0                      EnergyPro
                                                                        Technology
                                                                        Joins PFI
                                          PRIDE Flow
                                                                        Joins PFI
                                          Common
                                          Platform                      Joins PFI
   • CPF becomes
     Si2 standard                         Flow
                                                         • > 100 customer adopting
   • Cadence Low                          Joins PFI        CPF-based advanced low
     Power Solution                                        power solution
     production                           PowerPro CG
     released V 1.0                                      • ~ 50 tapeouts
                                          DDR PHY          Freescale, Fujitsu, NEC, NXP..

Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                                  – 46 –
Ecosystem Support for CPF Based Low Power Solution



 Early
Adopters




      Foundry



IP Vendor


      ASIC /
      Design
      Service


  EDA
                                                         www.powerforward.org
Innovation Through Collaboration
Innovation Through Collaboration – Low Power Coalition                   – 47 –
TSMC 8.0 Low Power Reference Flow
                                                CPF Quality Check
                                                CPF Quality Check
                                               Conformal Low Power
                                               Conformal Low Power
                                        CPF-Enabled Functional simulation
                                        CPF-Enabled Functional simulation
                                          Incisive Design Team Simulator
                                           Incisive Design Team Simulator
                                           Incisive Design Team Manager
                                            Incisive Design Team Manager
                                       CPF-Enabled Logic Synthesis & DFT
                                       CPF-Enabled Logic Synthesis & DFT
                                               Encounter RTL Compiler
                                               Encounter RTL Compiler
                                        CPF-Enabled LEC + Power Checks
                                        CPF-Enabled LEC + Power Checks
                                              Conformal Low Power
                                              Conformal Low Power
                                          CPF-Enabled Logic simulation
                                          CPF-Enabled Logic simulation
                                          Incisive Design Team Simulator
                                           Incisive Design Team Simulator
                CPF
                CPF




                                      CPF-Enabled Physical implementation
                                      CPF-Enabled Physical implementation
                                                SoC Encounter
                                                SoC Encounter
                                        CPF-Enabled LEC + Power Checks
                                        CPF-Enabled LEC + Power Checks
                                              Conformal Low Power
                                              Conformal Low Power
                                               CPF-Enabled ATPG
                                               CPF-Enabled ATPG
                                                 Encounter Test
                                                 Encounter Test
                                         CPF-Enabled Timing & SI signoff
                                         CPF-Enabled Timing & SI signoff
                                            Encounter Timing System
                                             Encounter Timing System
                                    CPF-Enabled Leakage & Thermal Analysis
                                    CPF-Enabled Leakage & Thermal Analysis
                                           Encounter Timing System
                                            Encounter Timing System
                                      CPF-Enabled IR drop & Power signoff
                                      CPF-Enabled IR drop & Power signoff
                                               VoltageStorm-DG
                                                                             www.tsmc.com
                                               VoltageStorm-DG
     Innovation Through Collaboration
     Innovation Through Collaboration – Low Power Coalition                            – 48 –
48
ARC Proof Point Project Using CPF Based Low Power Solution

                                                                  ARC700 with SIMD Co-Processor

                                                                  I$ ARC700SCQ SCQ SCM SDM
                                                                       D$           SIMD


                                                                  I$       D$      SCQ SCQ SCM
                                                                                     SCQ                  SDM

              Power Forward                                                          Always On
       low-power implementation &                                      Functional Blocks         Power Domains
        verification project results                                   Clock Gating Domains
      ● Simulation with CPF identifies                        •        For high bit-rate data streams, both
        problems that you will not
        otherwise identify                                             the ARC and the SIMD run flat out
      ● CPF aids communication of power                       •        For lower bit-rate data stream, the
        intent across team boundaries,                                 subsystem can be run at a lower
        ensuring accurate implementation                               frequency
        at all flow stages
      ● Significant power savings results                     •        For generic processing, the SIMD
        using these techniques                                         can be inactive

     Innovation Through Collaboration
     Innovation Through Collaboration – Low Power Coalition                                                – 49 –
49
Fujitsu Proof Point Project Using CPF Based Low Power Solution



                                                                         90nm
                                                                         940K instances
                                                                         11 Power Domains
                                                                         19 Power Modes

                                                                             DVFS


     ● Verified with test design
          PSO functional verification with simulation
                                                                        CPU1          peripherals   CPU2
          Low power structural and physical check
          (Shifters/Isolators/Power switches)
          Domain aware place and route
     ● Conclusion
          Functional verification is necessary for
          complex PSO design for design bugs                  Power Switch           Power
          Structural check with CPF could verify LP                                 Domains
          design
          Fujitsu will support CPF-based ASIC flow for
          their customers                                          Silicon Proven September ‘07
                                                                   Silicon Proven September ‘07
     Innovation Through Collaboration
     Innovation Through Collaboration – Low Power Coalition                                                – 50 –
50
NEC Proof Point Project Using CPF Based Low Power Solution


                                                                       65nm
                                                                       6 Power Domains
          NEC Electronics                                              5 Power Modes
           Corporation                                                 2 Supply Voltage

                                                                  PD0: 1.2V      Driver               PD1:1.2V
                                                                  (Default,
                                                                  Always On)
                                                                                PD4:0.74V             PD2:1.2V

                                                                                PSOcntl
                                                                                                      PD3:0.74V
        Validated CPF and CPF-based flow                                        PSGcntl
        for major low power methodologies
                                                                                                      PD5:0.74V
        in NEC Electronics                                                       ISOcntl
         386 checkpoints evaluated successfully
         CPF describe-ability                          Power                           Power Domain
                                                       Mode
         Multi-Supply-Voltage (MSV)                            PD0       PD1         PD2         PD3          PD4    PD5

         Power Shut Off (PSO)                          PM1     1.2V      1.2V        1.2V        0.74V       0.74V   0.74V
         State Retention Logic (SRL)
                                                       PM2     1.2V      PSO         1.2V        0.74V       0.74V   0.74V
         Variable Voltage Library (VVL)
                                                       PM3     1.2V      1.2V        PSO         0.74V       0.74V   0.74V
         Clock Tree Gating (CTG)
                                                       PM4     1.2V      1.2V        1.2V        PSO         0.74V   0.74V

      CPF based flow will be in use from Q3/2007       PM5     1.2V      PSO         PSO         PSO         0.74V   PSO



     Innovation Through Collaboration
     Innovation Through Collaboration – Low Power Coalition                                                           – 51 –
51
NXP Proof Point Project Using CPF Based Low Power Solution




     Power Forward low-power
       platform SoC results
       ● CPF-based functional                                 •   SoC consists of 11 islands
          verification (using simulation)                     •   3 major power consumers -RISC
          catches system level power                              CPU, VLIW DSP & L2 System
          issues early in the flow                                Cache are controlled using DVFS
       ● Use of CPF ensured what                              •   High bandwidth expansion ports
          implementation built was what                           enable extension, with graphics
          was verified                                            or cellular modem subsystems

     Innovation Through Collaboration
     Innovation Through Collaboration – Low Power Coalition                                  – 52 –
52
Low-Power Methodology

A Practical Case Study in Low-Power Methodology




   • Special thanks to NXP Semiconductors
Low-Power Methodology

•   New! Educational eBook resource for industry… no-cost download (168pp.)
•   Contents include:
     – LP Techniques - Design / Verification / Implementation w/ CPF
     – Real end-user chip design experiences




                                                   courtesy, PowerForward.org

                           Si2 – Innovation Through Collaboration
What Is The Low-Power Coalition?

● Flow-based solutions
     Standards to promote integration of open technologies into
      cohesive flows
          CPF contributed to LPC 4Q'06, approved as new Si2 standard in Mar'07

  
      Analyze / develop semantic consistency across data exchanges
● User-centric and comprehensive
  
      Focused on user needs for faster adoption into production chip
      design flows
     Owns the industry's low-power roadmap of requirements
     Comprehensive: enabling software, training & educational
      materials, articles, books, conferences, press coverage, etc.
Low-Power Glossary
Recent LPC / CPF Publicity
Recent LPC / CPF Publicity
LPC Structure

Full LPC Membership

              Technical Steering Group
                  3 Chief Architects




 Data Model           Flow WG             Format
  & API WG                               Req'ts WG


                                Format
                             Comparison WG
LPC Structure, Working Groups

● Full LPC membership (AMD, Chair)
    Business/policy & standards approvals
● Technical Steering Group (TSG): charters working groups, owns the
  low-power technology roadmap
  
      Includes 3 Chief Architects (Cadence, IBM, LSI)
● Active and completed working groups:
     Format Comparison WG – report on technical comparison of CPF and
      UPF (Done, results widely shared)
  
      Flow WG – align on low-power reference design flow and design
      techniques to drive clarity for enhancements
  
      Data Model and API WG – map clear semantics and data relationships in
      CPF, add API interface support to CPF
  
      Format Requirements WG – define priorities and detailed requirements
      for upcoming revision of CPF
LPC Working Groups

• Flow Working Group (AMD, chair)‫‏‬
  – Definition of complete reference flow from ESL to GDSII
     • Target completion of ESL portion: 1Q08
  – Analysis of power stimuli for SoC power estimation
     • Target completion date: 1H08
  – Compilation of all known low power design techniques
     • Target completion date: 1H08
LPC Working Groups

• Format Working Group (Freescale, chair)‫‏‬
  – Format extensions requirements document
     • DONE – Request for Technology (RFT) based on
       requirements document
     • RFT contributions for v1.1 have arrived, work begun
  – CPF v1.1 standardization target: mid-2008
     • > 100 pp. of enhancements expected
  – Low-Power Roadmap through end 2009
     • Coordinated among TSG, Flow, & Data Model WGs
     • DONE – approved for public release Feb08
Format Enhancement Requirements

      Immediate - Requirements for Version 1.1 (extension to 1.0)
           Hierarchical flow Support.
           Memory modeling styles and support.
           Gatelevel verification Flow CPF support.
                                                                                 1.1
           Power estimation Support
           Clocking and related updates are required to drive power optimization.
      Medium Term – Requirement for CPF 1.2
           Pre-Si and post_Si power modeling and budgeting.
           Test power definitions not represented in CPF.
                                                                                 1.2
           Investigate Load_foreign.
           IO modeling and representation.
      Long term - Next Generation
           CPF needs to drive debug related to power.
           CPF based system level definition.
                                                                                 2.0
                        Innovation Through Collaboration – Low Power Coalition
Innovation Through Collaboration                                                       –1–
Hierarchical Flow – Bottom Up


                               Chip Level power Structure
                                          CPF




                               Hard IP with         Hard IP with                 Soft IP
          Memories                                                                RTL
                              (Behavioural)         RTL or Gates

        Multiple CPF          Multiple CPF          Multiple CPF           Multiple CPF




                        Innovation Through Collaboration – Low Power Coalition
Innovation Through Collaboration                                                           –3–
Hierarchical Flow Requirements

● Retain integrity of power structures appropriately.
      Provide a way of overriding the IP level constraints by Chip integrators.
      Provide a way where IP providers can force protection.
● Merging of multiple IP level power domains.
● Integration of all power control signals for the merged domains.
      Switch Enable
      Isolation
      Retention Control
● Resolution of power rule conflicts when integrating IPs & merging power domains.
● Protection of variables at different level of hierarchy.
● Support parameters defined in different IP blocks
● Optionally preserve the boundary port definition of block level CPF.
● Merging of power mode definitions.
      Consolidation of block level power modes at top level
      Reference of block level power mode at top level.
● Enable power mode specific constraints. (decide which slides)

                        Innovation Through Collaboration – Low Power Coalition
Innovation Through Collaboration                                                  –6–
Summary of Low-Power Collateral

1. CPF v1.0 Standard
2. CPF v1.0 Parser software
3. CPF Pocket Reference Guide
4. CPF On-line Tutorial (2.5 hrs)
5. Low-Power Glossary of Terms
6. CPF v1.1 Requirements Document (and RFT)
7. Low-Power Industry Roadmap
8. “A Practical Guide to Low Power Design” (168pp. ebook)

                          For downloads, go to:
                  www.si2.org/?page=726

                      Si2 – Innovation Through Collaboration
Si2 – Innovation Through Collaboration
Thank You!



Si2 - Innovation Through Collaboration

More Related Content

What's hot

Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and VerificationDVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsiSaransh Choudhary
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 

What's hot (20)

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Physical design
Physical design Physical design
Physical design
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
Eco
EcoEco
Eco
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
Regular buffer v/s Clock buffer
Regular buffer v/s Clock bufferRegular buffer v/s Clock buffer
Regular buffer v/s Clock buffer
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 

Viewers also liked

Power Analysis for Beginners
Power Analysis for BeginnersPower Analysis for Beginners
Power Analysis for Beginnersgfb1
 
Introduction to Power Analysis
Introduction to Power AnalysisIntroduction to Power Analysis
Introduction to Power AnalysisDaria Bondareva
 
Interact 2 trail
Interact 2 trailInteract 2 trail
Interact 2 trailAtul Rana
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...iosrjce
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSISilicon Mentor
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flopShuaib Hotak
 
Power Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationPower Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationAjay Dhamija
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design pptAnil Yadav
 

Viewers also liked (14)

Power Analysis for Beginners
Power Analysis for BeginnersPower Analysis for Beginners
Power Analysis for Beginners
 
Introduction to Power Analysis
Introduction to Power AnalysisIntroduction to Power Analysis
Introduction to Power Analysis
 
Group 10 flip flop and rs latch 1
Group 10 flip flop and rs latch 1Group 10 flip flop and rs latch 1
Group 10 flip flop and rs latch 1
 
Altera trcak g
Altera  trcak gAltera  trcak g
Altera trcak g
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Interact 2 trail
Interact 2 trailInteract 2 trail
Interact 2 trail
 
Design and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
Design and Analysis of Low Power Implicit Pulse Triggered Flip-FlopsDesign and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
Design and Analysis of Low Power Implicit Pulse Triggered Flip-Flops
 
Power
PowerPower
Power
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...
 
Clock Gating
Clock GatingClock Gating
Clock Gating
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
Latches and flip flop
Latches and flip flopLatches and flip flop
Latches and flip flop
 
Power Analysis and Sample Size Determination
Power Analysis and Sample Size DeterminationPower Analysis and Sample Size Determination
Power Analysis and Sample Size Determination
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 

Similar to Low power design-ver_26_mar08

lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdfManiBharathNuti1
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power ManagementPatrick Bellasi
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iASaurabh Dighe
 
Last Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures CycloneLast Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures CycloneLast Mile Gear
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsDVClub
 
OPAL-RT RT14 Conference: HIL testing using HYPERSIM
OPAL-RT RT14 Conference: HIL testing using HYPERSIMOPAL-RT RT14 Conference: HIL testing using HYPERSIM
OPAL-RT RT14 Conference: HIL testing using HYPERSIMOPAL-RT TECHNOLOGIES
 
Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC DVClub
 
Ctp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.presCtp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.pressandeep patil
 
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsff
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsffNXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsff
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsffssuser57b3e5
 
Wireless Low Power and Verification Challenges
Wireless Low Power and Verification ChallengesWireless Low Power and Verification Challenges
Wireless Low Power and Verification ChallengesDVClub
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationDVClub
 
IRJET- Mollification Parameter Control by Dynamic Voltage Restorer (DVR)
IRJET-  	  Mollification Parameter Control by Dynamic Voltage Restorer (DVR)IRJET-  	  Mollification Parameter Control by Dynamic Voltage Restorer (DVR)
IRJET- Mollification Parameter Control by Dynamic Voltage Restorer (DVR)IRJET Journal
 

Similar to Low power design-ver_26_mar08 (20)

Schulz dallas q1_2008
Schulz dallas q1_2008Schulz dallas q1_2008
Schulz dallas q1_2008
 
Shultz dallas q108
Shultz dallas q108Shultz dallas q108
Shultz dallas q108
 
lowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdflowpower consumption and details of dfferent power pdf
lowpower consumption and details of dfferent power pdf
 
Embedded Systems Power Management
Embedded Systems Power ManagementEmbedded Systems Power Management
Embedded Systems Power Management
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iAHC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
HC24.29.625-IA-23-Wide-Ruhl-Intel_2012_NTV_iA
 
Low power
Low powerLow power
Low power
 
Sharam salamian
Sharam salamianSharam salamian
Sharam salamian
 
Last Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures CycloneLast Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures Cyclone
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex Chips
 
Roy aerofone power_verif
Roy aerofone power_verifRoy aerofone power_verif
Roy aerofone power_verif
 
OPAL-RT RT14 Conference: HIL testing using HYPERSIM
OPAL-RT RT14 Conference: HIL testing using HYPERSIMOPAL-RT RT14 Conference: HIL testing using HYPERSIM
OPAL-RT RT14 Conference: HIL testing using HYPERSIM
 
Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC
 
Ctp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.presCtp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.pres
 
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsff
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsffNXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsff
NXP TP-TD-AUT205 sfjkdgsj sfskfsf sdfsff
 
Wireless Low Power and Verification Challenges
Wireless Low Power and Verification ChallengesWireless Low Power and Verification Challenges
Wireless Low Power and Verification Challenges
 
Recognizing Sales Opportunities in Protective Relaying
Recognizing Sales Opportunities in Protective RelayingRecognizing Sales Opportunities in Protective Relaying
Recognizing Sales Opportunities in Protective Relaying
 
Herrington dv club_sept19-1
Herrington dv club_sept19-1Herrington dv club_sept19-1
Herrington dv club_sept19-1
 
Zilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal VerificationZilker Labs Mixed-Signal Verification
Zilker Labs Mixed-Signal Verification
 
IRJET- Mollification Parameter Control by Dynamic Voltage Restorer (DVR)
IRJET-  	  Mollification Parameter Control by Dynamic Voltage Restorer (DVR)IRJET-  	  Mollification Parameter Control by Dynamic Voltage Restorer (DVR)
IRJET- Mollification Parameter Control by Dynamic Voltage Restorer (DVR)
 

More from Obsidian Software (20)

Zhang rtp q307
Zhang rtp q307Zhang rtp q307
Zhang rtp q307
 
Zehr dv club_12052006
Zehr dv club_12052006Zehr dv club_12052006
Zehr dv club_12052006
 
Yang greenstein part_2
Yang greenstein part_2Yang greenstein part_2
Yang greenstein part_2
 
Yang greenstein part_1
Yang greenstein part_1Yang greenstein part_1
Yang greenstein part_1
 
Williamson arm validation metrics
Williamson arm validation metricsWilliamson arm validation metrics
Williamson arm validation metrics
 
Whipp q3 2008_sv
Whipp q3 2008_svWhipp q3 2008_sv
Whipp q3 2008_sv
 
Vishakantaiah validating
Vishakantaiah validatingVishakantaiah validating
Vishakantaiah validating
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environment
 
Tobin verification isglobal
Tobin verification isglobalTobin verification isglobal
Tobin verification isglobal
 
Tierney bq207
Tierney bq207Tierney bq207
Tierney bq207
 
The validation attitude
The validation attitudeThe validation attitude
The validation attitude
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Strickland dvclub
Strickland dvclubStrickland dvclub
Strickland dvclub
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verification
 
Shreeve dv club_ams
Shreeve dv club_amsShreeve dv club_ams
Shreeve dv club_ams
 
Schulz sv q2_2009
Schulz sv q2_2009Schulz sv q2_2009
Schulz sv q2_2009
 
Salamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austinSalamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austin
 
Sakar jain
Sakar jainSakar jain
Sakar jain
 
Runner sv q307
Runner sv q307Runner sv q307
Runner sv q307
 

Low power design-ver_26_mar08

  • 1. Low Power Design And Verification Steven E. Schulz President and CEO March 26th, 2008 DVclub San Jose Si2 - Innovation Through Collaboration
  • 2. Today’s Agenda Si2 – Innovation Through Collaboration 2
  • 3. Today’s Agenda • Why Low-Power Now? • Design and Verification Flow Challenges / Reqts • Common Power Format Introduction / Examples • Industry / Market Adoption and Silicon Benefits • Introduction to the Low Power Coalition • 2008 Roadmap / Plans •Q&A Si2 – Innovation Through Collaboration 3
  • 4. 2001 International Technology Roadmap for Semiconductors Possible kink in dynamic power Dynamic power Sub- threshold Here We are Gate Junction Junction Leakage P = ACV2f + VIleak Page 3
  • 5. Power Motivation and Requirements Mobile applications trends: • Leakage is significantly increasing due to process scaling • Active power increases due to application integration (with the subsequent exponential increase in leakage). Current density is also on the increase. • Active leakage is now a significant portion of SoC active power budget. • Sleep mode techniques need to be enhanced and enabled in a consistent fashion throughout the design flow • We need a concerted effort applied to leakage minimization at the micro- architectural, system and software level. • Process variation now limits how much we can voltage scale and how we do our power accounting, and therefore new strategies need to be develop to capture these constraints, and enhance our current scaling approaches/methodologies. Page 2
  • 6. Ultra-Low-Power Applications Addressing a Wide Range of Requirements Slide 18 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 7. Processor design for Power Efficiency: Different needs for different markets • Server market: – Defining property: Server processors are rarely idle. – Power goal: Increase MIPS/Watt in Power State C0 (ACPI). • Mobile market: – Defining property: Laptop processors are mostly idle. – Power goal: Reduce power in C2/C3 power states. • Techniques: – Clock gating – Multiple power domains – Multiple threshold voltages – Headers/footers – Operand Isolation (holding cell inputs stable when output is unused) – Dynamic voltage and frequency scaling – And others… 3 October 5, 2006
  • 8. PowerSmart™ -- Low Power Design Methodology Integrated 1.5V OP buffer Power switch clock gated cell en 1.5V OP clk o 3.3V 1.2V Regulator 1.0V 0.8V 1.0V 1.2V Multi-output Isolation cell regulator Vdd Vdd_UPS Retention D Q flip-flop ck Multi-Vt Multi-VDD library Multi-VDD Level shifter library Level shifter Power Islands SRAM Clock Gating 0.8V Low power Multi-Vth (Fusion) IP Low Power IP Excel Your Idea to Silicon 10
  • 9. Power analysis challenges: More complex than timing analysis • It is pattern-dependent. – Circuit and gate-level power analysis require good RTL-level patterns for accurate results. • It is a balancing act. (power efficiency) – Performance per watt (efficiency) is the metric, not Watts. Need to find blocks or nets that consume power without appropriate performance benefit. – Many tools sort blocks and nets by total power consumption not performance/watt. (E.g. clock nets burn a lot of power, but we already knew that) • It is an aggregate (time and space) and a user-defined constraint. – Power analysis types: average power (for budgeting & package selection), energy (for battery life), peak power (IR drop analysis), etc. – E.g. Briefly higher localized power consumption can be tolerated for package selection, unless it exceeds limits. • It requires coordination of data from physical design, gate design, RTL, and verification domains. – It requires knowledge in all these domains to cross-check results. • Must allow for accuracy to be improved over time. – Detailed circuit-level power analysis data often comes too late in the design cycle. 4 October 5, 2006
  • 10. Other related issues: DFT and Timing • Are scan paths hooked up in the RTL? Are they simulated in the Verilog? How are they verified? • How do you analyze power consumption in scan mode? • Timing also needs to know about the multiple voltage domains and operating points. • Need to work on timing and power in one environment to achieve correct optimization and trade-offs. 11 October 5, 2006
  • 11. Current state … • Debugging capabilities are very poor • Capacity issues • Complexity issues • Reporting weak and misleading • Functional correctness difficult to verify • Tools are mostly in Gatelevel, should be in RTL • Important is to have accuracy for RTL or otherwise it is not useful • All tools using different description for PM • PM configurations currently having thousands of statements in SoC level • No automation; It is designers responsibility to verify that all definitions are done correctly • Because updates for these definitions are done quite seldom, it is difficult to keep in mind complex configurations • There is no automation for PM definitions verification • Design hierarchy presentation varies in configuration files between tools, also between RTL and gate in same tool. Syntax is effected by scripting languages like perl and tcl 4 © NOKIA UPF Workshop / Oct 2006 /Naula
  • 12. Flow and Methodology Requirements The verification flows need to enable: • a voltage aware simulation method for logic problems due to voltage island partitioning • a method for full design multi-voltage domain analysis and reporting • a vector-less rule driven analysis of architecture, RTL, and gate correctness • a method for equivalence checking (i.e. across voltage states ) • a method that captures Island ordering • a method that incorporates early detection of micro-architecture sequence errors Page 5
  • 13. System Aspects require differing views Layout Algorithm Bus Architecture Implementation Power Source Temperature Refinement Security Address Space Documentation Slide 8
  • 14. Address space Layout Algorithm Bus Architecture Implementation Power Source Temperature Refinement Security Address Space Documentation Slide 9
  • 15. Aspect View: Bus Architectural layout Layout Algorithm Bus Architecture CPU CPU DMA DMA FLASH FLASH Implementation PLL PLL Power Processor Bus Source Temperature Refinement Bridge Bridge DMA DMA SRAM SRAM Security Peripheral Bus Address Space Documentation … … Ethernet Ethernet USB USB UART UART GPIO GPIO Slide 10
  • 16. Hierarchical view of Energy Conservation Software Dynamic system monitoring and intelligent control of energy Definitions savings, work load profiling, [dvfs], profiling and partitioning Platform Power Trees/Voltage islands, Connectivity of components & consistent platform power modes, intelligent bus coding, dependency Definitions discovery/optimization Architectural Heterogeneous processing resource optimization: MCU, DSP, Definitions accelerators, functional processing units, memory usage optimization Design Hardware support for voltage islands, power gating, low- Definitions power idle modes, SRPG, AWB, DVFS, DPTC, clock gating PROCESS node Transistor design, Vt Optimization, memory bitcell design. Definitions Special circuits, libraries, custom and analog blocks, SOI Thanks to Milind Padhye, Freescale Semiconductor, Austin Wireless Design Center Slide 17
  • 17. Low Power Design Needs Support Low Power Design Techniques thru the entire design flow using a single file format. Design Representation – Accurately define and capture the low power design intent, modes and constraints. Design Implementation – Floorplan and power grids. – Common constraints for all tools (Synthesis, APR, timing, DFT) – Design analysis tools with single power constraints. – Accurate power estimation and measurements Design Verification – Voltage oriented simulators – Various static power technique modeling and simulations. – Silicon validation and correlation. Slide 18
  • 18. Low power implementation : What’s new ? Becoming mainstream: – For 65nm and below , Low power is crucial for low/high performance. So far: – For dynamic power • Reducing power dissipation source when not needed. • Minimize switching capacitances. – For static power • Use of multiple Vt(s) synthesis / optimization More recently: – Reducing supply reduces power, but also makes circuit slower. To meet both chip performance requirements and power goals, use voltage islands and voltage and frequency scaling. – Leakage can also be addressed by suppressing current when not needed. Island of voltages increases the difficulty on implementation techniques. Intrusive on functionality Impact across design tasks ( Design-In and Implementation ) 3 Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
  • 19. Design implementation challenges New cells and their use model – Level Shifters – Retention logic – Isolation logic – Micro Switches Impacts at all levels of the design flow – Interface logic design, partitioning – Verification of power modes – Checks on interfaces between Power domains – Placement of IP in context voltage islands – Floorplanning with switches, Irdrop across switches, transient behavior. – DFT – Verification (STA, LVS, analysis) Conceptual shift : Power nets become functional signals 4 Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
  • 20. Methodology and design flow impacted 6 Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
  • 21. Short Term need ( 2) – Fill hole in Verification Low leakage design techniques have created a real paradigm shift. Power and ground nets are now becoming functional nets. They are not all explicitly in RTL or netlist levels. Proper connection of any other functional nets is verified by functional simulation….against the RTL or netlist. Being able to verify the power down modes , retention, recovery at power-on, etc in the context of RTL simulation is becoming mandatory. Verification tools should be power modes aware. 10 Si2-Accelera Low Power Workshop, CTO/SoCDT, Herve Menager, October 5th, 2006
  • 22. For IP, context is key “Always-on” depends on Memory context Processor Buffer within CPU SoC buffer routed across CPU SoC Characterization range is important Cells, memory could be different Complex features Multiple VDD, VSS pins Multiple operating Don’t want formats limiting IP features voltages Voltage dependent behavior Closed-loop behavior (tunable voltage) 6
  • 23. Canonical design to argue over……. Start with a realistic example to exercise interfaces and control Power and Ground are signals – but not as we know them…….. Power Gating, Retention, (Dynamic) Voltage Scaling, Level shifters, Memory… Isolation clamps across boundaries, a number of supply voltages e.g. a SOC with always powered logic plus: VCPU VRAM VSOC RAM RETAIN RETAIN with Core Retention PG CPU (& additional sub- power rail?) SRPG DVFS system subsystem (& LV retention?) 2
  • 24. Addressing power management challenges Operational and Standby (leakage) Active power + leakage Power gating/voltage scaling On-chip – fast but with care to avoid dI/dt problems Off-chip – may add latencies as long as 100’s of microseconds Need to be able to quantify Real-time cost (e.g. interrupt latency) in “wake-up” times Energy cost functions getting into/returning from power saving states 14
  • 25. Legacy Core VDD Legacy Core VSS Slide 6 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 26. Traditional Design Flow Architecture Placement & Timing Clock Design Optimization Verification Synthesis HW/SW Co- Floorplanning Optimization Design Logic Routing & RTL Design Synthesis Verification Optimization Sign-off: DRC/LVS Slide 7 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 27. Techniques Relevant To IP Right size libraries – Smaller transistors lead to smaller parasitics – Performance trade-off Multi-Vt libraries – Right Vt for the right paths at the right performance – Effectively used to control leakage – Increases the number of libraries needed to implement the design Voltage Islands – Requires updates to deal with multiple power supplies and associated conditions – Requires special level shifting components to implement Power Gating/On-Chip Regulation – Requires special power gating cells/regulation cells – Need to deal with “derived” power nets – Need to deal with POR cycle Substrate Bias – Requires dealing with multiple power supplies and possibly “negative” power supplies – Requires special level shifting components to implement Slide 9 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 28. So What Changes? … Everything … VDD VDD Voltage Island VSS Voltage Island & VDD1 Support State Retention VDD Support VSS Low Power Core On Chip VSS Regulation Support Voltage Island & VDD2 Back Bias Support VSS VSS1 Slide 10 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 29. So What Changes? … Everything … Architecture Placement & Timing Clock Design Optimization Verification Synthesis HW/SW Co- Floorplanning Optimization Design Logic Routing & RTL Design Synthesis Verification Optimization Sign-off: DRC/LVS Power Sign-off Spec Slide 12 © 2006 Virage Logic Corporation – COMPANY CONFIDENTIAL
  • 30. PowerSmart™ -- Low Power Design Methodology Integrated 1.5V OP buffer Power switch clock gated cell en 1.5V OP clk o 3.3V 1.2V Regulator 1.0V 0.8V 1.0V 1.2V Multi-output Isolation cell regulator Vdd Vdd_UPS Retention D Q flip-flop ck Multi-Vt Multi-VDD library Multi-VDD Level shifter library Level shifter Power Islands SRAM Clock Gating 0.8V Low power Multi-Vth (Fusion) IP Low Power IP Excel Your Idea to Silicon 10
  • 31. Low Power Design Without A Power Format Command file Specification MSV •Domains Function, timing, power SRPG PSO How do you verify ? •Level shifters •Isolation DVFS power functionality •SRPG without changing RTL? Command file Design Creation Iterate RTL Iterate Verification •Domains Constraint Coding Formal Testbench Automation •Level shifters Generation Analysis Verification Coverage Equivalence Checking Constraint Validation •Isolation •SRPG Synthesis Simulation Command file Design for Test •Domains Acceleration SVP & Emulation Command file •Domains •Level shifters Constraints Netlist •Isolation •SRPG Command file •Domains Physical Implementation •Level shifters Equivalence LVS/DRC/Ext Chip Integration •Isolation checking Prototyping Constraint Validation •SRPG Command file DFT •Domains Which one of Physical Synthesis •Modes for ATPG Analysis these is “golden”? Command file •Domains •Level shifters Routing Does the power ATPG •Isolation •SRPG shutoff really going to Sign-off work? Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition –3– GDSII
  • 32. What Was the Problem? Logic is “Connected” Power is Not “Connected” Formal Formal Simulation Hardware Simulation Hardware Analysis Analysis Parser Parser Parser Parser Parser Parser Management Synthesis Management Synthesis Parser Parser Parser Parser Logic Equivalence Power Power Equivalence Parser Parser SVP Information Checking SVP Information Information Checking (Verilog) (CPF) (no consistency) Parser Parser Parser Parser Parser Parser P+R Test Test P+R IP Libraries IP Libraries Can be Automated Very Difficult to Automate Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition –4–
  • 33. Si2 CPF Standardization ● Dec 4, 2006 Cadence contributed CPF v1.0 to Si2 ● January 12, 2007 LPC members unanimously voted and approved CPF v1.0 as Si2 Specification for low power standard ● January 17, 2007 Cadence contributed CPF v1.0 parser source code to Si2 ● March 5, 2007 CPF 1.0 available to everyone at no cost as a Si2 standard Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition –8–
  • 34. Common Power File ASCII file to capture ● Design intent and constraints Power domain Logical: instances as domain members Physical: power/ground nets and connectivity Analysis view: timing library sets for power domains Power Logic Level Shifter Logic Isolation Logic State-Retention logic Switch Logic & Control Signals Power mode Mode definitions Mode transition definitions ● Technology information Level Shifter Cells, Isolation Cells, State-Retention Cells, Switch Cells, Always On Cells Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 10 –
  • 35. CPF Language ● CPF is TCL-based. ● CPF Language = TCL commands + CPF objects + Design objects Power domain Analysis view Delay corner Library set Operating condition ● Design objects: objects that already exist in the RTL/gate netlist Module, Instance, Net, Pin, Port ● Commands – 42 commands set_* commands [version, scope, and general commands] define_*_cell commands [library cell description] create_*_rule commands [design intent] update_*_rules commands [implementation directives] Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 11 –
  • 36. Minimal Command Set For Different Design Stages create_power_domain Specify power intents create_nominal_condition verification and simulation create_power_mode design exploration early power estimation create_state_retention_rule create_isolation_rule create_level_shifter_rule More implementation details define_library_set synthesis update_nominal_condition formal verification update_power_mode DFT, ATPG, gate level power estimation create_ground_nets create_power_nets Complete physical implementation update_power_domain details silicon virtual prototyping create_power_switch_rule power planning create_analysis_view physical synthesis create_operating_corner structural verification sign-off power analysis Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 12 –
  • 37. Specify Power Mode Transitions PM2 PDcore PDau PDlu PDalu PDrf PM1 1.2v 1.2v 1.2v 1.2v 1.2v PM1 PM3 PM2 0.8v off 1.2v 1.2v 1.2v PM3 0.8v off off off 1.2 PM4 PM4 0.8v 1.2v 1.2v 1.2v off create_mode_transition -name PM1toPM2 –from_mode PM1 –to_mode PM2 -start_condition { pcu_inst/ctrl[0] & pcu_inst/ctrl[1] } -clock_pin { pcu_inst/clk } –cycles 100 create_mode_transition -name PM2toPM3 –from_mode PM2 –to_mode PM3 -start_condition { pcu_inst/ctrl[0] & !pcu_inst/ctrl[1] } -clock_pin { pcu_inst/clk } –cycles 1000 create_mode_transition -name PM3toPM4 –from_mode PM2 –to_mode PM3 -start_condition { !pcu_inst/ctrl[0] & pcu_inst/ctrl[1] } -clock_pin { pcu_inst/clk } –cycles 1000 create_mode_transition -name PM4toPM1 –from_mode PM2 –to_mode PM3 -start_condition { !pcu_inst/ctrl[0] & !pcu_inst/ctrl[1] } -clock_pin { pcu_inst/clk } –cycles 200 Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 24 –
  • 38. Low Power Design Verification Using CPF ● No need to specify power or ground nets at RTL stage ● No need to specify implementation related constraints at this stage such as library, timing constraints etc ● Minimal set of CPF commands for front-end designers to use Simulation tools to simulation power domain on and off to simulate power mode transitions for DVFS Coverage tools to check power mode coverage to check power mode transition coverage Assertion tools to generate power domain and mode aware assertions Verification tools to check for the correctness and completeness of CPF Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 27 –
  • 39. Low Power Logic Implementation and Verification Using CPF ● Still, no need to specify power or ground nets at this design stage ● Minimal set of CPF commands for designers to use Logic synthesis tools to synthesize isolation, level shifter and state retention logic to perform power domain aware logic synthesis to perform power mode aware (DVFS) synthesis Test synthesis tools to perform power domain and power mode aware DFT synthesis to generate power domain aware test control logic Formal Verification tools to check the correctness of low power structural implemented by synthesis tools to perform low power equivalency checking (RTL+CPF vs Netlist) Simulation tools to perform power aware gate level simulation to generate additional assertions for gate level simulation Analysis tools to perform power domain aware and power mode aware power analysis Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 33 –
  • 40. CPF Enabled Low Power Design Flow Specification Re-use pre- Function, timing, power Quick architectural exploration Instantiate single RTL with different power profiles verified IP ? Functionally verify advanced power Design Creation Iterate RTL + CPF Iterate Verification RTL implementation b Constraint Coding Formal techniques Analysis Testbench Automation Verification Coverage Generation Equivalence Checking Constraint Validation Structural & Synthesis Funct. Checks Design for Test Simulation Acceleration SVP & Emulation Constraints CPF Netlist Hand off to drive physical implementation Golden Physical Implementation specification Equivalence LVS/DRC/Ext Chip Integration checking eliminates Prototyping Automatic partitioning of Constraint Validation DFT assumptions and power domains Physical Synthesis Analysis miscommunications Single power Routing Automatic scheduling of test modes ATPG specification used from Sign-off specification to GDSII Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 45 – GDSII
  • 41. Continued Industry Wide Adoption of CPF 1Q2007 2Q2007 2H2007 Reference Flow 8.0 EnergyPro Technology Joins PFI PRIDE Flow Joins PFI Common Platform Joins PFI • CPF becomes Si2 standard Flow • > 100 customer adopting • Cadence Low Joins PFI CPF-based advanced low Power Solution power solution production PowerPro CG released V 1.0 • ~ 50 tapeouts DDR PHY Freescale, Fujitsu, NEC, NXP.. Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 46 –
  • 42. Ecosystem Support for CPF Based Low Power Solution Early Adopters Foundry IP Vendor ASIC / Design Service EDA www.powerforward.org Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 47 –
  • 43. TSMC 8.0 Low Power Reference Flow CPF Quality Check CPF Quality Check Conformal Low Power Conformal Low Power CPF-Enabled Functional simulation CPF-Enabled Functional simulation Incisive Design Team Simulator Incisive Design Team Simulator Incisive Design Team Manager Incisive Design Team Manager CPF-Enabled Logic Synthesis & DFT CPF-Enabled Logic Synthesis & DFT Encounter RTL Compiler Encounter RTL Compiler CPF-Enabled LEC + Power Checks CPF-Enabled LEC + Power Checks Conformal Low Power Conformal Low Power CPF-Enabled Logic simulation CPF-Enabled Logic simulation Incisive Design Team Simulator Incisive Design Team Simulator CPF CPF CPF-Enabled Physical implementation CPF-Enabled Physical implementation SoC Encounter SoC Encounter CPF-Enabled LEC + Power Checks CPF-Enabled LEC + Power Checks Conformal Low Power Conformal Low Power CPF-Enabled ATPG CPF-Enabled ATPG Encounter Test Encounter Test CPF-Enabled Timing & SI signoff CPF-Enabled Timing & SI signoff Encounter Timing System Encounter Timing System CPF-Enabled Leakage & Thermal Analysis CPF-Enabled Leakage & Thermal Analysis Encounter Timing System Encounter Timing System CPF-Enabled IR drop & Power signoff CPF-Enabled IR drop & Power signoff VoltageStorm-DG www.tsmc.com VoltageStorm-DG Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 48 – 48
  • 44. ARC Proof Point Project Using CPF Based Low Power Solution ARC700 with SIMD Co-Processor I$ ARC700SCQ SCQ SCM SDM D$ SIMD I$ D$ SCQ SCQ SCM SCQ SDM Power Forward Always On low-power implementation & Functional Blocks Power Domains verification project results Clock Gating Domains ● Simulation with CPF identifies • For high bit-rate data streams, both problems that you will not otherwise identify the ARC and the SIMD run flat out ● CPF aids communication of power • For lower bit-rate data stream, the intent across team boundaries, subsystem can be run at a lower ensuring accurate implementation frequency at all flow stages ● Significant power savings results • For generic processing, the SIMD using these techniques can be inactive Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 49 – 49
  • 45. Fujitsu Proof Point Project Using CPF Based Low Power Solution 90nm 940K instances 11 Power Domains 19 Power Modes DVFS ● Verified with test design PSO functional verification with simulation CPU1 peripherals CPU2 Low power structural and physical check (Shifters/Isolators/Power switches) Domain aware place and route ● Conclusion Functional verification is necessary for complex PSO design for design bugs Power Switch Power Structural check with CPF could verify LP Domains design Fujitsu will support CPF-based ASIC flow for their customers Silicon Proven September ‘07 Silicon Proven September ‘07 Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 50 – 50
  • 46. NEC Proof Point Project Using CPF Based Low Power Solution 65nm 6 Power Domains NEC Electronics 5 Power Modes Corporation 2 Supply Voltage PD0: 1.2V Driver PD1:1.2V (Default, Always On) PD4:0.74V PD2:1.2V PSOcntl PD3:0.74V Validated CPF and CPF-based flow PSGcntl for major low power methodologies PD5:0.74V in NEC Electronics ISOcntl 386 checkpoints evaluated successfully CPF describe-ability Power Power Domain Mode Multi-Supply-Voltage (MSV) PD0 PD1 PD2 PD3 PD4 PD5 Power Shut Off (PSO) PM1 1.2V 1.2V 1.2V 0.74V 0.74V 0.74V State Retention Logic (SRL) PM2 1.2V PSO 1.2V 0.74V 0.74V 0.74V Variable Voltage Library (VVL) PM3 1.2V 1.2V PSO 0.74V 0.74V 0.74V Clock Tree Gating (CTG) PM4 1.2V 1.2V 1.2V PSO 0.74V 0.74V CPF based flow will be in use from Q3/2007 PM5 1.2V PSO PSO PSO 0.74V PSO Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 51 – 51
  • 47. NXP Proof Point Project Using CPF Based Low Power Solution Power Forward low-power platform SoC results ● CPF-based functional • SoC consists of 11 islands verification (using simulation) • 3 major power consumers -RISC catches system level power CPU, VLIW DSP & L2 System issues early in the flow Cache are controlled using DVFS ● Use of CPF ensured what • High bandwidth expansion ports implementation built was what enable extension, with graphics was verified or cellular modem subsystems Innovation Through Collaboration Innovation Through Collaboration – Low Power Coalition – 52 – 52
  • 48. Low-Power Methodology A Practical Case Study in Low-Power Methodology • Special thanks to NXP Semiconductors
  • 49. Low-Power Methodology • New! Educational eBook resource for industry… no-cost download (168pp.) • Contents include: – LP Techniques - Design / Verification / Implementation w/ CPF – Real end-user chip design experiences courtesy, PowerForward.org Si2 – Innovation Through Collaboration
  • 50. What Is The Low-Power Coalition? ● Flow-based solutions  Standards to promote integration of open technologies into cohesive flows  CPF contributed to LPC 4Q'06, approved as new Si2 standard in Mar'07  Analyze / develop semantic consistency across data exchanges ● User-centric and comprehensive  Focused on user needs for faster adoption into production chip design flows  Owns the industry's low-power roadmap of requirements  Comprehensive: enabling software, training & educational materials, articles, books, conferences, press coverage, etc.
  • 52. Recent LPC / CPF Publicity
  • 53. Recent LPC / CPF Publicity
  • 54. LPC Structure Full LPC Membership Technical Steering Group 3 Chief Architects Data Model Flow WG Format & API WG Req'ts WG Format Comparison WG
  • 55. LPC Structure, Working Groups ● Full LPC membership (AMD, Chair)  Business/policy & standards approvals ● Technical Steering Group (TSG): charters working groups, owns the low-power technology roadmap  Includes 3 Chief Architects (Cadence, IBM, LSI) ● Active and completed working groups:  Format Comparison WG – report on technical comparison of CPF and UPF (Done, results widely shared)  Flow WG – align on low-power reference design flow and design techniques to drive clarity for enhancements  Data Model and API WG – map clear semantics and data relationships in CPF, add API interface support to CPF  Format Requirements WG – define priorities and detailed requirements for upcoming revision of CPF
  • 56. LPC Working Groups • Flow Working Group (AMD, chair)‫‏‬ – Definition of complete reference flow from ESL to GDSII • Target completion of ESL portion: 1Q08 – Analysis of power stimuli for SoC power estimation • Target completion date: 1H08 – Compilation of all known low power design techniques • Target completion date: 1H08
  • 57. LPC Working Groups • Format Working Group (Freescale, chair)‫‏‬ – Format extensions requirements document • DONE – Request for Technology (RFT) based on requirements document • RFT contributions for v1.1 have arrived, work begun – CPF v1.1 standardization target: mid-2008 • > 100 pp. of enhancements expected – Low-Power Roadmap through end 2009 • Coordinated among TSG, Flow, & Data Model WGs • DONE – approved for public release Feb08
  • 58. Format Enhancement Requirements Immediate - Requirements for Version 1.1 (extension to 1.0) Hierarchical flow Support. Memory modeling styles and support. Gatelevel verification Flow CPF support. 1.1 Power estimation Support Clocking and related updates are required to drive power optimization. Medium Term – Requirement for CPF 1.2 Pre-Si and post_Si power modeling and budgeting. Test power definitions not represented in CPF. 1.2 Investigate Load_foreign. IO modeling and representation. Long term - Next Generation CPF needs to drive debug related to power. CPF based system level definition. 2.0 Innovation Through Collaboration – Low Power Coalition Innovation Through Collaboration –1–
  • 59. Hierarchical Flow – Bottom Up Chip Level power Structure CPF Hard IP with Hard IP with Soft IP Memories RTL (Behavioural) RTL or Gates Multiple CPF Multiple CPF Multiple CPF Multiple CPF Innovation Through Collaboration – Low Power Coalition Innovation Through Collaboration –3–
  • 60. Hierarchical Flow Requirements ● Retain integrity of power structures appropriately. Provide a way of overriding the IP level constraints by Chip integrators. Provide a way where IP providers can force protection. ● Merging of multiple IP level power domains. ● Integration of all power control signals for the merged domains. Switch Enable Isolation Retention Control ● Resolution of power rule conflicts when integrating IPs & merging power domains. ● Protection of variables at different level of hierarchy. ● Support parameters defined in different IP blocks ● Optionally preserve the boundary port definition of block level CPF. ● Merging of power mode definitions. Consolidation of block level power modes at top level Reference of block level power mode at top level. ● Enable power mode specific constraints. (decide which slides) Innovation Through Collaboration – Low Power Coalition Innovation Through Collaboration –6–
  • 61. Summary of Low-Power Collateral 1. CPF v1.0 Standard 2. CPF v1.0 Parser software 3. CPF Pocket Reference Guide 4. CPF On-line Tutorial (2.5 hrs) 5. Low-Power Glossary of Terms 6. CPF v1.1 Requirements Document (and RFT) 7. Low-Power Industry Roadmap 8. “A Practical Guide to Low Power Design” (168pp. ebook) For downloads, go to: www.si2.org/?page=726 Si2 – Innovation Through Collaboration
  • 62. Si2 – Innovation Through Collaboration
  • 63. Thank You! Si2 - Innovation Through Collaboration