SlideShare a Scribd company logo
1 of 19
VHDL ENTITY VHDL 1. ver.8a
In this chapter ,[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a
What is an entity? Overall structure of a VHDL file VHDL 1. ver.8a Entity Library  declaration Entity declaration Architecture body
What are they? VHDL 1. ver.8a Entity declaration Architecture body A VHDL file Library declaration, e.g. IEEE library Defines Input/Output pins The processing Entity
An example  a comparator in VHDL VHDL 1. ver.8a The comparator chip: eqcomp4 a3 a2 a1 a0 equals b3 b2 b1 b0 equals VHDL for programmable logic , Skahill, Addison Wesley A=[a3,a2,a1,a0] B=[b3,b2,b1,b0]
An example of a comparator ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a Entity declaration: define IOs Architecuture  body: functional definition
How to read it ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a Port defines the I/O pins.  Entity enclosed by the entity name –  eqcomp4  (entered by the user) ,[object Object],[object Object],[object Object],[object Object]
Entity declaration Define Input/Output (IO) pins VHDL 1. ver.8a Entity Library  declaration Entity declaration Architecture body
Entity declaration: define the IO pins of the chip ,[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a The comparator chip: eqcomp4 a3 a2 a1 a0 equals b3 b2 b1 b0 Two input buses (a3,a2,a1,a0) (b3,b2,b1,b0) and one output ‘equals’
Work example 1.1 ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a
More on Entity Declaration ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a **User defined variables are in Italic.
IN, OUT, INOUT, BUFFER ,[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a
Worksheet 1.2  Example/Exercise: IN, OUT, INOUT, BUFFER ,[object Object],[object Object],VHDL 1. ver.8a From  VHDL for  programmable  logic ,  Skahill, Addison Wesley
The architecture body Define the internal architecture/operation VHDL 1. ver.8a Entity Library  declaration Entity declaration Architecture body
Architecture body: define the operation of the chip ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a Architecuture  body
How to read it ,[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a 6 architecture  dataflow1  of  eqcomp4  is 7 begin 8 equals  <= ' 1 ' when ( a = b ) else ' 0 ’; 9--   “comment” equals is active high 10 end  dataflow1 ;
Worksheet 1.3:  Write the entity of this device ,[object Object],VHDL 1. ver.8a Worksheet VHDL1.3
Worksheet 1.4:  Draw the schematic circuit ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],VHDL 1. ver.8a Worksheet VHDL1.4
Summary ,[object Object],VHDL 1. ver.8a

More Related Content

What's hot

Fan-in and Fan-out.ppt
Fan-in and Fan-out.pptFan-in and Fan-out.ppt
Fan-in and Fan-out.pptvsnishok
 
Vlsi design mosfet
Vlsi design mosfetVlsi design mosfet
Vlsi design mosfetvennila12
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Đình Khanh Nguyễn
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentationDaola Khungur
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Introduction to ARM LPC2148
Introduction to ARM LPC2148Introduction to ARM LPC2148
Introduction to ARM LPC2148Veera Kumar
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI NIT Raipur
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog Pushpa Yakkala
 
VLSI Technology Evolution
VLSI Technology EvolutionVLSI Technology Evolution
VLSI Technology EvolutionA B Shinde
 

What's hot (20)

Cmos logic
Cmos logicCmos logic
Cmos logic
 
Fan-in and Fan-out.ppt
Fan-in and Fan-out.pptFan-in and Fan-out.ppt
Fan-in and Fan-out.ppt
 
Vlsi design mosfet
Vlsi design mosfetVlsi design mosfet
Vlsi design mosfet
 
CMOS TG
CMOS TGCMOS TG
CMOS TG
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
 
Data types in verilog
Data types in verilogData types in verilog
Data types in verilog
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
CMOS
CMOS CMOS
CMOS
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Introduction to ARM LPC2148
Introduction to ARM LPC2148Introduction to ARM LPC2148
Introduction to ARM LPC2148
 
Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI Presentation on Industrial training in VLSI
Presentation on Industrial training in VLSI
 
My VLSI.pptx
My VLSI.pptxMy VLSI.pptx
My VLSI.pptx
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 
Mosfet
MosfetMosfet
Mosfet
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Vlsi ppt priyanka
Vlsi ppt priyankaVlsi ppt priyanka
Vlsi ppt priyanka
 
VLSI TECHNOLOGY
VLSI TECHNOLOGYVLSI TECHNOLOGY
VLSI TECHNOLOGY
 
VLSI Technology Evolution
VLSI Technology EvolutionVLSI Technology Evolution
VLSI Technology Evolution
 
Cmos
CmosCmos
Cmos
 

Viewers also liked

Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-concept
Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-conceptRalph and-wand-a-proposal-for-a-formal-definition-of-the-design-concept
Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-conceptSvetlana Postolachi
 
Modeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApModeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApEr. Ashish Pandey
 
Calculate Standard Minute Value for a Garment
Calculate Standard Minute Value for a GarmentCalculate Standard Minute Value for a Garment
Calculate Standard Minute Value for a GarmentK.K.T Madhusanka
 
AMELON UNIFORM TRADING COMPANY PROFILE
AMELON UNIFORM TRADING COMPANY PROFILEAMELON UNIFORM TRADING COMPANY PROFILE
AMELON UNIFORM TRADING COMPANY PROFILEbukola etti
 
Feasibility report on Formal trouser
Feasibility report on Formal trouserFeasibility report on Formal trouser
Feasibility report on Formal trouserDhaval Bhoraniya
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdlRaj Mohan
 
21548667 t-shirt-operation-breakdown
21548667 t-shirt-operation-breakdown21548667 t-shirt-operation-breakdown
21548667 t-shirt-operation-breakdownvikram kumar
 
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Rahul Borthakur
 
Feasibility Report on Formal Trouser
Feasibility Report on Formal TrouserFeasibility Report on Formal Trouser
Feasibility Report on Formal TrouserDhaval Bhoraniya
 
Application of industrial engineering techniques in garments production
Application of industrial engineering techniques      in garments productionApplication of industrial engineering techniques      in garments production
Application of industrial engineering techniques in garments productionMd.Abdur Rahim Al Bahar
 
How to Develop Product Specifications for Fashion Design.
How to Develop Product Specifications for Fashion Design. How to Develop Product Specifications for Fashion Design.
How to Develop Product Specifications for Fashion Design. FashionMentorOnline
 
Plant layout ppt by me
Plant layout ppt by mePlant layout ppt by me
Plant layout ppt by meAnkit Walia
 

Viewers also liked (19)

Apparel Manufacturing Process
Apparel Manufacturing ProcessApparel Manufacturing Process
Apparel Manufacturing Process
 
Definition Of Design
Definition Of DesignDefinition Of Design
Definition Of Design
 
8086 ppt
8086 ppt8086 ppt
8086 ppt
 
Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-concept
Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-conceptRalph and-wand-a-proposal-for-a-formal-definition-of-the-design-concept
Ralph and-wand-a-proposal-for-a-formal-definition-of-the-design-concept
 
Modeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By ApModeling Style and Delay Model of VHDL By Ap
Modeling Style and Delay Model of VHDL By Ap
 
Calculate Standard Minute Value for a Garment
Calculate Standard Minute Value for a GarmentCalculate Standard Minute Value for a Garment
Calculate Standard Minute Value for a Garment
 
Final pl doc
Final pl docFinal pl doc
Final pl doc
 
AMELON UNIFORM TRADING COMPANY PROFILE
AMELON UNIFORM TRADING COMPANY PROFILEAMELON UNIFORM TRADING COMPANY PROFILE
AMELON UNIFORM TRADING COMPANY PROFILE
 
Feasibility report on Formal trouser
Feasibility report on Formal trouserFeasibility report on Formal trouser
Feasibility report on Formal trouser
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdl
 
21548667 t-shirt-operation-breakdown
21548667 t-shirt-operation-breakdown21548667 t-shirt-operation-breakdown
21548667 t-shirt-operation-breakdown
 
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
Designing of 8 BIT Arithmetic and Logical Unit and implementing on Xilinx Ver...
 
Feasibility Report on Formal Trouser
Feasibility Report on Formal TrouserFeasibility Report on Formal Trouser
Feasibility Report on Formal Trouser
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Application of industrial engineering techniques in garments production
Application of industrial engineering techniques      in garments productionApplication of industrial engineering techniques      in garments production
Application of industrial engineering techniques in garments production
 
How to Develop Product Specifications for Fashion Design.
How to Develop Product Specifications for Fashion Design. How to Develop Product Specifications for Fashion Design.
How to Develop Product Specifications for Fashion Design.
 
Plant layout
Plant layoutPlant layout
Plant layout
 
Plant layout ppt by me
Plant layout ppt by mePlant layout ppt by me
Plant layout ppt by me
 
Plant layout
Plant layoutPlant layout
Plant layout
 

Similar to VHDL Entity

Similar to VHDL Entity (20)

Session1
Session1Session1
Session1
 
vhdl
vhdlvhdl
vhdl
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
Vhd lhigh2003
Vhd lhigh2003Vhd lhigh2003
Vhd lhigh2003
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
 
VHDL Part 4
VHDL Part 4VHDL Part 4
VHDL Part 4
 
Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
EMBEDDED SYSTEMS 4&5
EMBEDDED SYSTEMS 4&5EMBEDDED SYSTEMS 4&5
EMBEDDED SYSTEMS 4&5
 
Vhdl
VhdlVhdl
Vhdl
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Verilogspk1
Verilogspk1Verilogspk1
Verilogspk1
 
8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOT8. Kevin Stanton-report PSUBOT
8. Kevin Stanton-report PSUBOT
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Let's Take A Look At The Boost Libraries
Let's Take A Look At The Boost LibrariesLet's Take A Look At The Boost Libraries
Let's Take A Look At The Boost Libraries
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 

More from Ramasubbu .P (20)

radar
radarradar
radar
 
Press
PressPress
Press
 
Milling 2
Milling 2Milling 2
Milling 2
 
MIlling 1
MIlling 1MIlling 1
MIlling 1
 
Drillings
DrillingsDrillings
Drillings
 
Holding
HoldingHolding
Holding
 
Saftey
SafteySaftey
Saftey
 
Harvesting
HarvestingHarvesting
Harvesting
 
Plough
PloughPlough
Plough
 
Tractor PTO
Tractor PTOTractor PTO
Tractor PTO
 
Tractor Components
Tractor ComponentsTractor Components
Tractor Components
 
MSAT
MSATMSAT
MSAT
 
GPS
GPSGPS
GPS
 
RTOS
RTOSRTOS
RTOS
 
Virus
VirusVirus
Virus
 
Hacker
HackerHacker
Hacker
 
Denail of Service
Denail of ServiceDenail of Service
Denail of Service
 
RAID CONCEPT
RAID CONCEPTRAID CONCEPT
RAID CONCEPT
 
Network Security
Network SecurityNetwork Security
Network Security
 
Timer
TimerTimer
Timer
 

Recently uploaded

The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxheathfieldcps1
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Pooja Bhuva
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...ZurliaSoop
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxVishalSingh1417
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jisc
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...Nguyen Thanh Tu Collection
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...Nguyen Thanh Tu Collection
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfNirmal Dwivedi
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxDr. Sarita Anand
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSCeline George
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxEsquimalt MFRC
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfagholdier
 
REMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxREMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxDr. Ravikiran H M Gowda
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Jisc
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Association for Project Management
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxPooja Bhuva
 

Recently uploaded (20)

The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
Sensory_Experience_and_Emotional_Resonance_in_Gabriel_Okaras_The_Piano_and_Th...
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
Jual Obat Aborsi Hongkong ( Asli No.1 ) 085657271886 Obat Penggugur Kandungan...
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
TỔNG ÔN TẬP THI VÀO LỚP 10 MÔN TIẾNG ANH NĂM HỌC 2023 - 2024 CÓ ĐÁP ÁN (NGỮ Â...
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Google Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptxGoogle Gemini An AI Revolution in Education.pptx
Google Gemini An AI Revolution in Education.pptx
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
REMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptxREMIFENTANIL: An Ultra short acting opioid.pptx
REMIFENTANIL: An Ultra short acting opioid.pptx
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)Accessible Digital Futures project (20/03/2024)
Accessible Digital Futures project (20/03/2024)
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptx
 

VHDL Entity

  • 1. VHDL ENTITY VHDL 1. ver.8a
  • 2.
  • 3. What is an entity? Overall structure of a VHDL file VHDL 1. ver.8a Entity Library declaration Entity declaration Architecture body
  • 4. What are they? VHDL 1. ver.8a Entity declaration Architecture body A VHDL file Library declaration, e.g. IEEE library Defines Input/Output pins The processing Entity
  • 5. An example a comparator in VHDL VHDL 1. ver.8a The comparator chip: eqcomp4 a3 a2 a1 a0 equals b3 b2 b1 b0 equals VHDL for programmable logic , Skahill, Addison Wesley A=[a3,a2,a1,a0] B=[b3,b2,b1,b0]
  • 6.
  • 7.
  • 8. Entity declaration Define Input/Output (IO) pins VHDL 1. ver.8a Entity Library declaration Entity declaration Architecture body
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14. The architecture body Define the internal architecture/operation VHDL 1. ver.8a Entity Library declaration Entity declaration Architecture body
  • 15.
  • 16.
  • 17.
  • 18.
  • 19.