SlideShare une entreprise Scribd logo
1  sur  26
Shivananda	
  (Shivoo)	
  R	
  Koteshwar	
  
Director,	
  MediaTek	
  
shivoo.koteshwar@gmail.com	
  /	
  Facebook:	
  shivoo.koteshwar	
  
BLOG:	
  http://shivookoteshwar.wordpress.com	
  	
  
SLIDESHARE:	
  www.slideshare.net/shivoo.koteshwar	
  	
  
BMS College and Mentor Graphics,
Bangalore
Jul 2015
1.  Basics	
  
2.  Verification	
  Challenges	
  
3.  Verification	
  Strategies	
  	
  
4.  Verification	
  Methodologies	
  
5.  The	
  market	
  
6.  The	
  Opportunities	
  
7.  Skills	
  needed	
  
8.  Q&A	
  
•  Design	
  synthesis:	
  
!  Given	
  an	
  I/O	
  function,	
  develop	
  a	
  procedure	
  to	
  
manufacture	
  a	
  device	
  using	
  known	
  materials	
  and	
  
processes	
  
•  Verification:	
  
!  Predictive	
  analysis	
  to	
  ensure	
  that	
  the	
  synthesized	
  design,	
  
when	
  manufactured,	
  will	
  perform	
  the	
  given	
  I/O	
  function	
  
•  Test:	
  
!  A	
  manufacturing	
  step	
  that	
  ensures	
  that	
  the	
  physical	
  
device,	
  manufactured	
  from	
  the	
  synthesized	
  design,	
  has	
  no	
  
manufacturing	
  defect.	
  
3
4
!  Goal:	
  Validate	
  a	
  model	
  of	
  the	
  design	
  
!  Testbench	
  wraps	
  around	
  the	
  design	
  under	
  test	
  (DUT)	
  
!  Inputs	
  provide	
  (deterministic	
  or	
  random)	
  stimulus	
  
!  Reference	
  signals:	
  clock(s),	
  reset,	
  etc.	
  
!  Data:	
  bits,	
  bit	
  words	
  
!  Protocols:	
  PCI,	
  SPI,	
  AMBA,	
  USB,	
  etc.	
  
!  Outputs	
  capture	
  responses	
  and	
  make	
  checks	
  
!  Data:	
  bits,	
  bit	
  words	
  
!  Protocols:	
  PCI,	
  SPI,	
  AMBA,	
  USB,	
  etc.	
  
http://www.xilinx.com/support/ sw_manuals/xilinx8/download/qst.zip
Design entry (VHDL,
Verilog, State diagrams,
pre-designed cores)
Net lists
Placement, routing
 Verification	
  is	
  the	
  process	
  of	
  verifying	
  the	
  transformation	
  steps	
  in	
  
the	
  design	
  flow	
  are	
  executed	
  correctly.	
  
Algorithm
Architecture/
Spec RTL Gate GDSII ASIC
End
productIdea
Product
Acceptance
Test
Transformations
C-Model
Spec
Acceptance
Review
Simulation/
Code Review
Formal
Functional/ Timing
Verification ATE
Sign-Off
Review
!  Ensure	
  full	
  conformance	
  with	
  specification:	
  
!  Must	
  avoid	
  false	
  positives	
  (untested	
  
functionalities)	
  
???Pass
Fail
Good Bad(bug)
RTL code
√	

Tape out!
Debug
testbench
Debug RTL
code
Testbench
Simulation
result
False
positive
results in
shipping a
bad design
How do we achieve this goal?
Design Errors Simulation –Practical Problem
!  Coverage	
  
!  Code	
  Coverage	
  
▪  Statement	
  or	
  Block	
  Coverage	
  
▪  Path	
  Coverage	
  
▪  Expression	
  Coverage	
  
!  Functional	
  Coverage	
  
!  Verification	
  languages	
  can	
  raise	
  the	
  level	
  of	
  abstraction	
  
!  Best	
  way	
  to	
  increase	
  productivity	
  is	
  to	
  raise	
  the	
  level	
  of	
  
abstraction	
  used	
  to	
  perform	
  a	
  task	
  
!  VHDL	
  and	
  Verilog	
  are	
  simulation	
  languages,	
  not	
  
verification	
  languages	
  
!  VHDL	
  simulation	
  tools	
  can	
  automatically	
  calculate	
  a	
  metric	
  called	
  code	
  
coverage	
  (assuming	
  you	
  have	
  licenses	
  for	
  this	
  feature).	
  	
  	
  	
  
!  Code	
  coverage	
  tracks	
  what	
  lines	
  of	
  code	
  or	
  expressions	
  in	
  the	
  code	
  have	
  
been	
  exercised.	
  
!  Code	
  coverage	
  cannot	
  detect	
  conditions	
  that	
  are	
  not	
  in	
  the	
  code	
  
!  Code	
  coverage	
  on	
  a	
  partially	
  implemented	
  design	
  can	
  reach	
  100%.	
  	
  It	
  
cannot	
  detect	
  missing	
  features	
  and	
  many	
  boundary	
  conditions	
  (in	
  
particular	
  those	
  that	
  span	
  more	
  than	
  one	
  block)	
  
!  Code	
  coverage	
  is	
  an	
  optimistic	
  metric.	
  	
  In	
  combinational	
  logic	
  code	
  in	
  an	
  
HDL,	
  a	
  process	
  may	
  be	
  executed	
  many	
  times	
  during	
  a	
  given	
  clock	
  cycle	
  
due	
  to	
  delta	
  cycle	
  changes	
  on	
  input	
  signals.	
  	
  This	
  can	
  result	
  in	
  several	
  
different	
  branches	
  of	
  code	
  being	
  executed.	
  	
  However,	
  only	
  the	
  last	
  branch	
  
of	
  code	
  executed	
  before	
  the	
  clock	
  edge	
  truly	
  has	
  been	
  covered.	
  	
  	
  
!  Hence,	
  code	
  coverage	
  cannot	
  be	
  used	
  exclusively	
  to	
  indicate	
  we	
  are	
  done	
  
testing.	
  	
  
12
!  Functional	
  coverage	
  is	
  code	
  that	
  observes	
  execution	
  of	
  a	
  test	
  plan.	
  	
  As	
  such,	
  it	
  is	
  code	
  you	
  
write	
  to	
  track	
  whether	
  important	
  values,	
  sets	
  of	
  values,	
  or	
  sequences	
  of	
  values	
  that	
  
correspond	
  to	
  design	
  or	
  interface	
  requirements,	
  features,	
  or	
  boundary	
  conditions	
  have	
  been	
  
exercised	
  
!  Specifically,	
  100%	
  functional	
  coverage	
  indicates	
  that	
  all	
  items	
  in	
  the	
  test	
  plan	
  have	
  been	
  
tested.	
  	
  Combine	
  this	
  with	
  100%	
  code	
  coverage	
  and	
  it	
  indicates	
  that	
  testing	
  is	
  done	
  
!  Functional	
  coverage	
  that	
  examines	
  the	
  values	
  within	
  a	
  single	
  object	
  is	
  called	
  either	
  point	
  
coverage	
  or	
  item	
  coverage	
  
!  One	
  relationship	
  we	
  might	
  look	
  at	
  is	
  different	
  transfer	
  sizes	
  across	
  a	
  packet	
  based	
  bus.	
  	
  For	
  example,	
  
the	
  test	
  plan	
  may	
  require	
  that	
  transfer	
  sizes	
  with	
  the	
  following	
  size	
  or	
  range	
  of	
  sizes	
  be	
  observed:	
  1,	
  2,	
  
3,	
  4	
  to	
  127,	
  128	
  to	
  252,	
  253,	
  254,	
  or	
  255.	
  
!  Functional	
  coverage	
  that	
  examines	
  the	
  relationships	
  between	
  different	
  objects	
  is	
  called	
  cross	
  
coverage.	
  	
  An	
  example	
  of	
  this	
  would	
  be	
  examining	
  whether	
  an	
  ALU	
  has	
  done	
  all	
  of	
  its	
  
supported	
  operations	
  with	
  every	
  different	
  input	
  pair	
  of	
  registers	
  
!  VHDL’s	
  Open	
  Source	
  VHDL	
  Verification	
  Methodology	
  (OSVVM)	
  provides	
  a	
  package,	
  
CoveragePkg,	
  with	
  a	
  protected	
  type	
  that	
  facilitates	
  capturing	
  the	
  data	
  structure	
  and	
  writing	
  
functional	
  coverage	
  
!  Functional	
  Coverage	
  provides	
  additional	
  supporting	
  data	
  that	
  the	
  design	
  is	
  tested.	
  It’s	
  a	
  
supplement	
  to	
  Primitive	
  testing	
  directed,	
  algorithmic,	
  file	
  based,	
  or	
  constrained	
  random	
  
test	
  methods	
  
13
!  It	
  is	
  quite	
  possible	
  to	
  achieve	
  100%	
  code	
  coverage	
  but	
  only	
  50%	
  functional	
  
coverage	
  
!  Here	
  the	
  design	
  is	
  half	
  complete	
  	
  
!  Equally,	
  it	
  is	
  possible	
  to	
  have	
  50%	
  code	
  coverage	
  but	
  100%	
  functional	
  
coverage	
  
!  Indicates	
  that	
  the	
  functional	
  coverage	
  model	
  is	
  missing	
  some	
  key	
  features	
  of	
  the	
  design	
  	
  
!  Indicates	
  the	
  design	
  contains	
  untested	
  code	
  that	
  is	
  not	
  part	
  of	
  the	
  test	
  plan	
  
!  This	
  can	
  come	
  from	
  an	
  incomplete	
  test	
  plan,	
  extra	
  undocumented	
  features	
  in	
  the	
  design,	
  or	
  
case	
  statement	
  others	
  branches	
  that	
  do	
  not	
  get	
  exercised	
  in	
  normal	
  hardware	
  operation	
  
!  Untested	
  features	
  need	
  to	
  either	
  be	
  tested	
  or	
  removed	
  
!  As	
  a	
  result,	
  even	
  with	
  100%	
  functional	
  coverage	
  it	
  is	
  still	
  a	
  good	
  idea	
  to	
  use	
  code	
  coverage	
  as	
  a	
  
fail	
  safe	
  for	
  the	
  test	
  plan	
  
!  Code	
  Coverage	
  is	
  quantitative	
  coverage	
  and	
  functional	
  coverage	
  is	
  qualitative	
  
coverage	
  
!  The	
  two	
  coverage	
  approaches	
  are	
  complementary,	
  and	
  high	
  quality	
  
verification	
  will	
  benefit	
  from	
  both.	
  
14
Test Done = Test Plan Executed  and All Code Executed
REF: https://www.doulos.com/knowhow/sysverilog/uvm/easier_uvm_guidelines/coverage-driven
!  IP	
  /	
  Module	
  Level	
  Verification	
  
Study DUT and related
Specification
Gather requirements for
features to be verified and set priorities
Review Requirements with IP Architect/Designer
(Requirements should cover all parameters for module)
Design Test Infrastructure on paper / document
(includes re-usable verification components)
Review TB Architecture with Verification Team
Design Test Infrastructure
(includes re-usable verification components)
Code Testcases as per Test-Bench Plan. Also code
Functional Coverpoints / Assertions
Complete Verification such that Functional Coverage
100% and Code Coverage numbers are logged.
Review Code Coverage numbers with Designer
to eliminate dead code possibilities.
Sign off Module Level Verification by checking in
the files having relevant data such as logs.
!  SoC	
  Level	
  Verification	
  
Study SoC and related
Specification
Gather requirements for
critical data paths set priorities
Review Requirements with IP Architect/Designer
(Requirements should cover all parameters for module)
Design Test Infrastructure on paper / document
Identify testcases that can be re-used
(includes re-usable verification components)
Review TB Architecture with Verification Team
Design Test Infrastructure
(includes re-usable verification components)
Code Testcases as per Test-Bench Plan. Also code
Functional Coverpoints / Assertions
Complete Verification such that Functional Coverage
100% and Code Coverage numbers are logged.
Review Code Coverage numbers with Designer
to eliminate dead code possibilities.
Sign off SoC Verification by checking in
the files having relevant data such as logs.
TESTBENCH
ENVIRONMENT /
ARCHITECTURE
!  C	
  type	
  data	
  types	
  like	
  int,	
  typedef,	
  struct,	
  union,	
  enum	
  
!  Dynamic	
  data	
  types	
  :	
  struct,	
  classes,	
  dynamic	
  queues,	
  
dynamic	
  arrays	
  
!  New	
  operators	
  and	
  built	
  in	
  methods	
  
!  Enhanced	
  flow	
  control	
  like,	
  foreach,	
  return,	
  break,	
  
continue	
  
!  Inter-­‐process	
  synchronization	
  –	
  Semaphores,	
  
Mailboxes,	
  Event	
  Extension	
  
!  Assertions	
  and	
  Coverage	
  
!  Clocking	
  Domains	
  
!  Direct	
  Programming	
  Interface	
  (DPI)	
  -­‐	
  VPI	
  
!  Hardware	
  specific	
  procedures	
  
REF: http://www.eetimes.com/document.asp?doc_id=1277143
!  Provides	
  a	
  reusable	
  ,standard	
  infrastructure	
  in	
  form	
  of	
  
base	
  classes	
  which	
  are	
  pre-­‐defined.	
  These	
  can	
  be	
  
extended	
  and	
  enhanced	
  as	
  per	
  user	
  needs	
  
!  Defines	
  rules	
  to	
  create	
  behavioral	
  models	
  also	
  known	
  
as	
  Verification	
  Components	
  (OVC/UVC)	
  
!  Defines	
  standards	
  for	
  higher	
  level	
  of	
  modelling	
  input	
  
stimulus	
  using	
  Transaction	
  Level	
  Modelling	
  (TLM)	
  
!  Defines	
  rules	
  to	
  have	
  a	
  layered	
  structure	
  of	
  test-­‐
benches	
  
!  In	
  summary	
  Methodology	
  =	
  standardization	
  of	
  the	
  
way	
  of	
  creating	
  complex	
  test-­‐benches	
  with	
  
constrained	
  random	
  test-­‐vectors.	
  
!  OVM	
  
!  Open	
  Verification	
  Methodology	
  
!  Derived	
  mainly	
  from	
  the	
  URM	
  (Universal	
  Reuse	
  Methodology)	
  which	
  was,	
  to	
  a	
  large	
  part,	
  based	
  on	
  the	
  eRM	
  
(e	
  Reuse	
  Methodology)	
  for	
  the	
  e	
  Verification	
  Language	
  developed	
  by	
  Verisity	
  Design	
  in	
  2001	
  
!  The	
  OVM	
  also	
  brings	
  in	
  concepts	
  from	
  the	
  Advanced	
  Verification	
  Methodology	
  (AVM)	
  
!  System	
  Verilog	
  
!  RVM	
  
!  Reference	
  Verification	
  Methodology	
  	
  
!  Complete	
  set	
  of	
  metrics	
  and	
  methods	
  for	
  performing	
  Functional	
  verification	
  of	
  complex	
  designs	
  
!  The	
  SystemVerilog	
  implementation	
  of	
  the	
  RVM	
  is	
  known	
  as	
  the	
  VMM	
  (Verification	
  Methodology	
  Manual)	
  
!  OVL	
  
!  Open	
  Verification	
  Language	
  
!  OVL	
  library	
  of	
  assertion	
  checkers	
  is	
  intended	
  to	
  be	
  used	
  by	
  design,	
  integration,	
  and	
  verification	
  engineers	
  to	
  
check	
  for	
  good/bad	
  behavior	
  in	
  simulation,	
  emulation,	
  and	
  formal	
  verification.	
  	
  
!  Accellera	
  -­‐	
  http://www.accellera.org/downloads/standards/ovl/	
  
!  UVM	
  
!  Standard	
  Universal	
  Verification	
  Methodology	
  
!  Accellera	
  -­‐	
  http://www.accellera.org/downloads/standards/uvm	
  
!  System	
  Verilog	
  
!  OS-­‐VVM	
  
!  VHDL	
  
!  Accellera	
  
OVC: OVM Verification Component
UVC: Universal Verification Component
!  Simple	
  reusable	
  OVCs	
  
interfaced	
  to	
  a	
  DUT.	
  
!  Due	
  to	
  standard	
  nature	
  
third	
  party	
  OVCs	
  can	
  be	
  
quickly	
  interfaced	
  and	
  
used.	
  
!  A	
  virtual	
  sequencer	
  
controls	
  the	
  sequencer	
  
which	
  controls	
  the	
  OVC	
  
sequences	
  to	
  generate	
  
scenarios.	
  
!  Run	
  the	
  most	
  important	
  tests	
  first	
  when	
  you	
  get	
  a	
  
new	
  build	
  
!  Do	
  not	
  start	
  over	
  on	
  your	
  test	
  pass	
  every	
  time	
  you	
  
receive	
  a	
  new	
  build	
  
!  Regression	
  tests	
  that	
  have	
  been	
  run	
  already	
  many	
  
times	
  are	
  unlikely	
  to	
  reveal	
  new	
  bugs.	
  If	
  your	
  testcase	
  
fully	
  automated,	
  by	
  all	
  means,	
  run	
  all	
  of	
  them	
  for	
  each	
  
build.	
  
!  Prioritize	
  tests	
  into	
  “Must-­‐Pass”	
  types	
  with	
  a	
  more	
  
focused	
  list	
  of	
  tests	
  which	
  can	
  reduce	
  the	
  time	
  of	
  the	
  
regression.	
  Major	
  builds	
  will	
  warrant	
  running	
  all	
  
testcases.	
  
!  Automate	
  whenever	
  it	
  makes	
  sense	
  to	
  do	
  so.	
  
!  Automation	
  is	
  a	
  means	
  of	
  reducing	
  manual	
  
effort	
  in	
  running	
  repetitive	
  tasks	
  such	
  as	
  
regressions.	
  
!  Automation	
  can	
  be	
  done	
  also	
  in	
  creating	
  test-­‐
benches	
  so	
  that	
  a	
  standard	
  infrastructure	
  is	
  
maintained	
  across	
  the	
  team.	
  
!  This	
  can	
  be	
  done	
  using	
  PERL	
  scripts.	
  
!  Why	
  use	
  PERL	
  ?	
  
-­‐  Free	
  and	
  works	
  with	
  most	
  UNIX	
  and	
  Linux	
  versions	
  
-­‐  Ease	
  to	
  work	
  with	
  ,	
  smaller	
  learning	
  curve.	
  
-­‐  Advance	
  PERL	
  with	
  OOPs	
  available	
  makes	
  scripting	
  
easier	
  
!  Scripting	
  
!  PERL,	
  Python,	
  C++	
  
!  Languages	
  and	
  Methodologies	
  
!  Verilog,	
  VHDL,	
  System	
  Verilog,	
  UVM,	
  OVM,	
  VMM	
  
!  Problem	
  Solving	
  Skills	
  
!  Diligent	
  and	
  Methodical	
  
!  Documentation	
  Skills	
  
!  Reading	
  Skills!	
  
Visit my slideshare to
view all these
presentations
Shivananda	
  (Shivoo)	
  R	
  Koteshwar	
  
Director,	
  Mediatek	
  
shivoo.koteshwar@gmail.com/	
  Facebook:	
  shivoo.koteshwar	
  
BLOG:	
  http://shivookoteshwar.wordpress.com	
  
SLIDESHARE:	
  www.slideshare.net/shivoo.koteshwar	
  

Contenu connexe

Tendances

Basics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow DevicesBasics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow DevicesArrow Devices
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology LandscapeDVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesNirav Desai
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocksNirav Desai
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog Pushpa Yakkala
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessRamdas Mozhikunnath
 
System verilog important
System verilog importantSystem verilog important
System verilog importantelumalai7
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomizationNirav Desai
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology TutorialArrow Devices
 

Tendances (20)

Lec13
Lec13Lec13
Lec13
 
Basics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow DevicesBasics of Functional Verification - Arrow Devices
Basics of Functional Verification - Arrow Devices
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SOC design
SOC design SOC design
SOC design
 
Session 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfacesSession 8 assertion_based_verification_and_interfaces
Session 8 assertion_based_verification_and_interfaces
 
Ovm vs-uvm
Ovm vs-uvmOvm vs-uvm
Ovm vs-uvm
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Physical design
Physical design Physical design
Physical design
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Cracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview SuccessCracking Digital VLSI Verification Interview: Interview Success
Cracking Digital VLSI Verification Interview: Interview Success
 
System verilog important
System verilog importantSystem verilog important
System verilog important
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 

En vedette

3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic
 3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic 3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic
3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational LogicDr. Shivananda Koteshwar
 
3Sem-Logic Design Notes-Unit7-Sequential Design
3Sem-Logic Design Notes-Unit7-Sequential Design3Sem-Logic Design Notes-Unit7-Sequential Design
3Sem-Logic Design Notes-Unit7-Sequential DesignDr. Shivananda Koteshwar
 
Data Analysis - Scientific Research Definitions
Data Analysis - Scientific Research DefinitionsData Analysis - Scientific Research Definitions
Data Analysis - Scientific Research DefinitionsDr. Shivananda Koteshwar
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 Dr. Shivananda Koteshwar
 
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVC
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVCUpgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVC
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVCFPGA Central
 
Technology - Yesterday, Today and Tomorrow
Technology - Yesterday, Today and TomorrowTechnology - Yesterday, Today and Tomorrow
Technology - Yesterday, Today and TomorrowDr. Shivananda Koteshwar
 
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...Pankaj Singh
 
Ctp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.presCtp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.pressandeep patil
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design chiportal
 
1Sem-Basic Electronics Notes-Unit7-Number System
1Sem-Basic Electronics Notes-Unit7-Number System1Sem-Basic Electronics Notes-Unit7-Number System
1Sem-Basic Electronics Notes-Unit7-Number SystemDr. Shivananda Koteshwar
 
Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and VerificationDVClub
 
2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1 2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1 Dr. Shivananda Koteshwar
 
Various industry trends and career opportunities for engineering graduates in...
Various industry trends and career opportunities for engineering graduates in...Various industry trends and career opportunities for engineering graduates in...
Various industry trends and career opportunities for engineering graduates in...Dr. Shivananda Koteshwar
 
Career opportunities for engineering students
Career opportunities for engineering studentsCareer opportunities for engineering students
Career opportunities for engineering studentsDr. Shivananda Koteshwar
 

En vedette (20)

A Chat with the Elite, A Journey as a CEO
A Chat with the Elite, A Journey as a CEOA Chat with the Elite, A Journey as a CEO
A Chat with the Elite, A Journey as a CEO
 
3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic
 3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic 3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic
3Sem-Logic Design Notes-Unit4-Design and Analysis of Combinational Logic
 
3Sem-Logic Design Notes-Unit7-Sequential Design
3Sem-Logic Design Notes-Unit7-Sequential Design3Sem-Logic Design Notes-Unit7-Sequential Design
3Sem-Logic Design Notes-Unit7-Sequential Design
 
Bangalore march07
Bangalore march07Bangalore march07
Bangalore march07
 
Data Analysis - Scientific Research Definitions
Data Analysis - Scientific Research DefinitionsData Analysis - Scientific Research Definitions
Data Analysis - Scientific Research Definitions
 
2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2 2Sem-MTech-Low Power VLSI Design Homework - Unit2
2Sem-MTech-Low Power VLSI Design Homework - Unit2
 
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVC
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVCUpgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVC
Upgrading to System Verilog for FPGA Designs, Srinivasan Venkataramanan, CVC
 
Technology - Yesterday, Today and Tomorrow
Technology - Yesterday, Today and TomorrowTechnology - Yesterday, Today and Tomorrow
Technology - Yesterday, Today and Tomorrow
 
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
 
Ctp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.presCtp cdnlive2005 1329mohindru.pres
Ctp cdnlive2005 1329mohindru.pres
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design
 
Thesis Report Review and Analysis
Thesis Report Review and AnalysisThesis Report Review and Analysis
Thesis Report Review and Analysis
 
SOC Power Estimation
SOC Power EstimationSOC Power Estimation
SOC Power Estimation
 
1Sem-Basic Electronics Notes-Unit7-Number System
1Sem-Basic Electronics Notes-Unit7-Number System1Sem-Basic Electronics Notes-Unit7-Number System
1Sem-Basic Electronics Notes-Unit7-Number System
 
Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC Approaches for Power Management Verification of SOC
Approaches for Power Management Verification of SOC
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1 2Sem-MTech-Low Power VLSI Design Homework - Unit1
2Sem-MTech-Low Power VLSI Design Homework - Unit1
 
Various industry trends and career opportunities for engineering graduates in...
Various industry trends and career opportunities for engineering graduates in...Various industry trends and career opportunities for engineering graduates in...
Various industry trends and career opportunities for engineering graduates in...
 
Career opportunities for engineering students
Career opportunities for engineering studentsCareer opportunities for engineering students
Career opportunities for engineering students
 

Similaire à Verification Challenges and Methodologies

6 article azojete vol 9 51 67
6 article azojete vol 9 51 676 article azojete vol 9 51 67
6 article azojete vol 9 51 67Oyeniyi Samuel
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ? Dr.YNM
 
Code coverage & tools
Code coverage & toolsCode coverage & tools
Code coverage & toolsRajesh Kumar
 
Automating The Process For Building Reliable Software
Automating The Process For Building Reliable SoftwareAutomating The Process For Building Reliable Software
Automating The Process For Building Reliable Softwareguest8861ff
 
Introduction to Behavior Driven Development
Introduction to Behavior Driven Development Introduction to Behavior Driven Development
Introduction to Behavior Driven Development Robin O'Brien
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptntareq91
 
Software Development Standard Operating Procedure
Software Development Standard Operating Procedure Software Development Standard Operating Procedure
Software Development Standard Operating Procedure rupeshchanchal
 
Beginners overview of automated testing with Rspec
Beginners overview of automated testing with RspecBeginners overview of automated testing with Rspec
Beginners overview of automated testing with Rspecjeffrey1ross
 
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Update
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech UpdateAdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Update
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Updatejamieayre
 
Getting Started with Test-Driven Development at Longhorn PHP 2023
Getting Started with Test-Driven Development at Longhorn PHP 2023Getting Started with Test-Driven Development at Longhorn PHP 2023
Getting Started with Test-Driven Development at Longhorn PHP 2023Scott Keck-Warren
 
Enter the mind of an Agile Developer
Enter the mind of an Agile DeveloperEnter the mind of an Agile Developer
Enter the mind of an Agile DeveloperBSGAfrica
 
Testware Hierarchy for Test Automation
Testware Hierarchy for Test AutomationTestware Hierarchy for Test Automation
Testware Hierarchy for Test AutomationGregory Solovey
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREVLSICS Design
 

Similaire à Verification Challenges and Methodologies (20)

Pragmatic Code Coverage
Pragmatic Code CoveragePragmatic Code Coverage
Pragmatic Code Coverage
 
6 article azojete vol 9 51 67
6 article azojete vol 9 51 676 article azojete vol 9 51 67
6 article azojete vol 9 51 67
 
Why system verilog ?
Why system verilog ? Why system verilog ?
Why system verilog ?
 
Code coverage
Code coverageCode coverage
Code coverage
 
Code coverage & tools
Code coverage & toolsCode coverage & tools
Code coverage & tools
 
Automating The Process For Building Reliable Software
Automating The Process For Building Reliable SoftwareAutomating The Process For Building Reliable Software
Automating The Process For Building Reliable Software
 
Introduction to Behavior Driven Development
Introduction to Behavior Driven Development Introduction to Behavior Driven Development
Introduction to Behavior Driven Development
 
Software testing (2)
Software testing (2)Software testing (2)
Software testing (2)
 
SystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.pptSystemVerilog_veriflcation and UVM for IC design.ppt
SystemVerilog_veriflcation and UVM for IC design.ppt
 
Software Development Standard Operating Procedure
Software Development Standard Operating Procedure Software Development Standard Operating Procedure
Software Development Standard Operating Procedure
 
Beginners overview of automated testing with Rspec
Beginners overview of automated testing with RspecBeginners overview of automated testing with Rspec
Beginners overview of automated testing with Rspec
 
Lafauci dv club oct 2006
Lafauci dv club oct 2006Lafauci dv club oct 2006
Lafauci dv club oct 2006
 
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Update
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech UpdateAdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Update
AdaCore Paris Tech Day 2016: Jose Ruiz - QGen Tech Update
 
Getting Started with Test-Driven Development at Longhorn PHP 2023
Getting Started with Test-Driven Development at Longhorn PHP 2023Getting Started with Test-Driven Development at Longhorn PHP 2023
Getting Started with Test-Driven Development at Longhorn PHP 2023
 
White box testing
White box testingWhite box testing
White box testing
 
Enter the mind of an Agile Developer
Enter the mind of an Agile DeveloperEnter the mind of an Agile Developer
Enter the mind of an Agile Developer
 
Testware Hierarchy for Test Automation
Testware Hierarchy for Test AutomationTestware Hierarchy for Test Automation
Testware Hierarchy for Test Automation
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER COREUVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE
 

Plus de Dr. Shivananda Koteshwar

Role of a manager in cultural transformation
Role of a manager in cultural transformationRole of a manager in cultural transformation
Role of a manager in cultural transformationDr. Shivananda Koteshwar
 
Innovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterInnovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterDr. Shivananda Koteshwar
 
Introduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersIntroduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersDr. Shivananda Koteshwar
 
Understanding scale Clean tech and Agritech verticals
Understanding scale   Clean tech and Agritech verticalsUnderstanding scale   Clean tech and Agritech verticals
Understanding scale Clean tech and Agritech verticalsDr. Shivananda Koteshwar
 
IoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursIoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursDr. Shivananda Koteshwar
 
ASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesDr. Shivananda Koteshwar
 

Plus de Dr. Shivananda Koteshwar (20)

Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)Aurinko Open Day (11th and 12th)
Aurinko Open Day (11th and 12th)
 
Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)Aurinko Open Day (Pre KG to 10th Grade)
Aurinko Open Day (Pre KG to 10th Grade)
 
BELAKUBE METHODOLOGY
BELAKUBE METHODOLOGYBELAKUBE METHODOLOGY
BELAKUBE METHODOLOGY
 
Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22Belakoo Annual Report 2021-22
Belakoo Annual Report 2021-22
 
Role of a manager in cultural transformation
Role of a manager in cultural transformationRole of a manager in cultural transformation
Role of a manager in cultural transformation
 
Social Entrepreneurship
Social EntrepreneurshipSocial Entrepreneurship
Social Entrepreneurship
 
Innovation in GCC - Global Capability Center
Innovation in GCC - Global Capability CenterInnovation in GCC - Global Capability Center
Innovation in GCC - Global Capability Center
 
Corporate Expectation from a MBA Graduate
Corporate Expectation from a MBA GraduateCorporate Expectation from a MBA Graduate
Corporate Expectation from a MBA Graduate
 
Introduction to consultancy for MBA Freshers
Introduction to consultancy for MBA FreshersIntroduction to consultancy for MBA Freshers
Introduction to consultancy for MBA Freshers
 
Bachelor of Design (BDes)
Bachelor of Design (BDes)Bachelor of Design (BDes)
Bachelor of Design (BDes)
 
Understanding scale Clean tech and Agritech verticals
Understanding scale   Clean tech and Agritech verticalsUnderstanding scale   Clean tech and Agritech verticals
Understanding scale Clean tech and Agritech verticals
 
Evolution and Advancement in Chipsets
Evolution and Advancement in ChipsetsEvolution and Advancement in Chipsets
Evolution and Advancement in Chipsets
 
Ideation and validation - An exercise
Ideation and validation -  An exerciseIdeation and validation -  An exercise
Ideation and validation - An exercise
 
IoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneursIoT product business plan creation for entrepreneurs and intrepreneurs
IoT product business plan creation for entrepreneurs and intrepreneurs
 
ASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and MethodologiesASIC SoC Verification Challenges and Methodologies
ASIC SoC Verification Challenges and Methodologies
 
IoT Product Design and Prototyping
IoT Product Design and PrototypingIoT Product Design and Prototyping
IoT Product Design and Prototyping
 
Business model
Business modelBusiness model
Business model
 
Engaging Today's kids
Engaging Today's kidsEngaging Today's kids
Engaging Today's kids
 
Nurturing Innovative Minds
Nurturing Innovative MindsNurturing Innovative Minds
Nurturing Innovative Minds
 
Creating those dots
Creating those dotsCreating those dots
Creating those dots
 

Dernier

Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptbibisarnayak0
 
welding defects observed during the welding
welding defects observed during the weldingwelding defects observed during the welding
welding defects observed during the weldingMuhammadUzairLiaqat
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptNarmatha D
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdfCaalaaAbdulkerim
 
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Erbil Polytechnic University
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating SystemRashmi Bhat
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - GuideGOPINATHS437943
 
Indian Dairy Industry Present Status and.ppt
Indian Dairy Industry Present Status and.pptIndian Dairy Industry Present Status and.ppt
Indian Dairy Industry Present Status and.pptMadan Karki
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdfHafizMudaserAhmad
 
Internet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxInternet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxVelmuruganTECE
 
Ch10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfCh10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfChristianCDAM
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...Erbil Polytechnic University
 
DM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectDM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectssuserb6619e
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Energy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxEnergy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxsiddharthjain2303
 
National Level Hackathon Participation Certificate.pdf
National Level Hackathon Participation Certificate.pdfNational Level Hackathon Participation Certificate.pdf
National Level Hackathon Participation Certificate.pdfRajuKanojiya4
 
home automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadhome automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadaditya806802
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvLewisJB
 

Dernier (20)

Autonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.pptAutonomous emergency braking system (aeb) ppt.ppt
Autonomous emergency braking system (aeb) ppt.ppt
 
welding defects observed during the welding
welding defects observed during the weldingwelding defects observed during the welding
welding defects observed during the welding
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Industrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.pptIndustrial Safety Unit-IV workplace health and safety.ppt
Industrial Safety Unit-IV workplace health and safety.ppt
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdf
 
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
Comparative study of High-rise Building Using ETABS,SAP200 and SAFE., SAFE an...
 
Virtual memory management in Operating System
Virtual memory management in Operating SystemVirtual memory management in Operating System
Virtual memory management in Operating System
 
Transport layer issues and challenges - Guide
Transport layer issues and challenges - GuideTransport layer issues and challenges - Guide
Transport layer issues and challenges - Guide
 
Indian Dairy Industry Present Status and.ppt
Indian Dairy Industry Present Status and.pptIndian Dairy Industry Present Status and.ppt
Indian Dairy Industry Present Status and.ppt
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf
 
Internet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptxInternet of things -Arshdeep Bahga .pptx
Internet of things -Arshdeep Bahga .pptx
 
Ch10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdfCh10-Global Supply Chain - Cadena de Suministro.pdf
Ch10-Global Supply Chain - Cadena de Suministro.pdf
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...
 
DM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in projectDM Pillar Training Manual.ppt will be useful in deploying TPM in project
DM Pillar Training Manual.ppt will be useful in deploying TPM in project
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Energy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptxEnergy Awareness training ppt for manufacturing process.pptx
Energy Awareness training ppt for manufacturing process.pptx
 
National Level Hackathon Participation Certificate.pdf
National Level Hackathon Participation Certificate.pdfNational Level Hackathon Participation Certificate.pdf
National Level Hackathon Participation Certificate.pdf
 
home automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasadhome automation using Arduino by Aditya Prasad
home automation using Arduino by Aditya Prasad
 
Work Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvvWork Experience-Dalton Park.pptxfvvvvvvv
Work Experience-Dalton Park.pptxfvvvvvvv
 

Verification Challenges and Methodologies

  • 1. Shivananda  (Shivoo)  R  Koteshwar   Director,  MediaTek   shivoo.koteshwar@gmail.com  /  Facebook:  shivoo.koteshwar   BLOG:  http://shivookoteshwar.wordpress.com     SLIDESHARE:  www.slideshare.net/shivoo.koteshwar     BMS College and Mentor Graphics, Bangalore Jul 2015
  • 2. 1.  Basics   2.  Verification  Challenges   3.  Verification  Strategies     4.  Verification  Methodologies   5.  The  market   6.  The  Opportunities   7.  Skills  needed   8.  Q&A  
  • 3. •  Design  synthesis:   !  Given  an  I/O  function,  develop  a  procedure  to   manufacture  a  device  using  known  materials  and   processes   •  Verification:   !  Predictive  analysis  to  ensure  that  the  synthesized  design,   when  manufactured,  will  perform  the  given  I/O  function   •  Test:   !  A  manufacturing  step  that  ensures  that  the  physical   device,  manufactured  from  the  synthesized  design,  has  no   manufacturing  defect.   3
  • 4. 4
  • 5. !  Goal:  Validate  a  model  of  the  design   !  Testbench  wraps  around  the  design  under  test  (DUT)   !  Inputs  provide  (deterministic  or  random)  stimulus   !  Reference  signals:  clock(s),  reset,  etc.   !  Data:  bits,  bit  words   !  Protocols:  PCI,  SPI,  AMBA,  USB,  etc.   !  Outputs  capture  responses  and  make  checks   !  Data:  bits,  bit  words   !  Protocols:  PCI,  SPI,  AMBA,  USB,  etc.  
  • 6. http://www.xilinx.com/support/ sw_manuals/xilinx8/download/qst.zip Design entry (VHDL, Verilog, State diagrams, pre-designed cores) Net lists Placement, routing
  • 7.  Verification  is  the  process  of  verifying  the  transformation  steps  in   the  design  flow  are  executed  correctly.   Algorithm Architecture/ Spec RTL Gate GDSII ASIC End productIdea Product Acceptance Test Transformations C-Model Spec Acceptance Review Simulation/ Code Review Formal Functional/ Timing Verification ATE Sign-Off Review
  • 8. !  Ensure  full  conformance  with  specification:   !  Must  avoid  false  positives  (untested   functionalities)   ???Pass Fail Good Bad(bug) RTL code √ Tape out! Debug testbench Debug RTL code Testbench Simulation result False positive results in shipping a bad design How do we achieve this goal?
  • 9.
  • 10. Design Errors Simulation –Practical Problem
  • 11. !  Coverage   !  Code  Coverage   ▪  Statement  or  Block  Coverage   ▪  Path  Coverage   ▪  Expression  Coverage   !  Functional  Coverage   !  Verification  languages  can  raise  the  level  of  abstraction   !  Best  way  to  increase  productivity  is  to  raise  the  level  of   abstraction  used  to  perform  a  task   !  VHDL  and  Verilog  are  simulation  languages,  not   verification  languages  
  • 12. !  VHDL  simulation  tools  can  automatically  calculate  a  metric  called  code   coverage  (assuming  you  have  licenses  for  this  feature).         !  Code  coverage  tracks  what  lines  of  code  or  expressions  in  the  code  have   been  exercised.   !  Code  coverage  cannot  detect  conditions  that  are  not  in  the  code   !  Code  coverage  on  a  partially  implemented  design  can  reach  100%.    It   cannot  detect  missing  features  and  many  boundary  conditions  (in   particular  those  that  span  more  than  one  block)   !  Code  coverage  is  an  optimistic  metric.    In  combinational  logic  code  in  an   HDL,  a  process  may  be  executed  many  times  during  a  given  clock  cycle   due  to  delta  cycle  changes  on  input  signals.    This  can  result  in  several   different  branches  of  code  being  executed.    However,  only  the  last  branch   of  code  executed  before  the  clock  edge  truly  has  been  covered.       !  Hence,  code  coverage  cannot  be  used  exclusively  to  indicate  we  are  done   testing.     12
  • 13. !  Functional  coverage  is  code  that  observes  execution  of  a  test  plan.    As  such,  it  is  code  you   write  to  track  whether  important  values,  sets  of  values,  or  sequences  of  values  that   correspond  to  design  or  interface  requirements,  features,  or  boundary  conditions  have  been   exercised   !  Specifically,  100%  functional  coverage  indicates  that  all  items  in  the  test  plan  have  been   tested.    Combine  this  with  100%  code  coverage  and  it  indicates  that  testing  is  done   !  Functional  coverage  that  examines  the  values  within  a  single  object  is  called  either  point   coverage  or  item  coverage   !  One  relationship  we  might  look  at  is  different  transfer  sizes  across  a  packet  based  bus.    For  example,   the  test  plan  may  require  that  transfer  sizes  with  the  following  size  or  range  of  sizes  be  observed:  1,  2,   3,  4  to  127,  128  to  252,  253,  254,  or  255.   !  Functional  coverage  that  examines  the  relationships  between  different  objects  is  called  cross   coverage.    An  example  of  this  would  be  examining  whether  an  ALU  has  done  all  of  its   supported  operations  with  every  different  input  pair  of  registers   !  VHDL’s  Open  Source  VHDL  Verification  Methodology  (OSVVM)  provides  a  package,   CoveragePkg,  with  a  protected  type  that  facilitates  capturing  the  data  structure  and  writing   functional  coverage   !  Functional  Coverage  provides  additional  supporting  data  that  the  design  is  tested.  It’s  a   supplement  to  Primitive  testing  directed,  algorithmic,  file  based,  or  constrained  random   test  methods   13
  • 14. !  It  is  quite  possible  to  achieve  100%  code  coverage  but  only  50%  functional   coverage   !  Here  the  design  is  half  complete     !  Equally,  it  is  possible  to  have  50%  code  coverage  but  100%  functional   coverage   !  Indicates  that  the  functional  coverage  model  is  missing  some  key  features  of  the  design     !  Indicates  the  design  contains  untested  code  that  is  not  part  of  the  test  plan   !  This  can  come  from  an  incomplete  test  plan,  extra  undocumented  features  in  the  design,  or   case  statement  others  branches  that  do  not  get  exercised  in  normal  hardware  operation   !  Untested  features  need  to  either  be  tested  or  removed   !  As  a  result,  even  with  100%  functional  coverage  it  is  still  a  good  idea  to  use  code  coverage  as  a   fail  safe  for  the  test  plan   !  Code  Coverage  is  quantitative  coverage  and  functional  coverage  is  qualitative   coverage   !  The  two  coverage  approaches  are  complementary,  and  high  quality   verification  will  benefit  from  both.   14 Test Done = Test Plan Executed  and All Code Executed REF: https://www.doulos.com/knowhow/sysverilog/uvm/easier_uvm_guidelines/coverage-driven
  • 15. !  IP  /  Module  Level  Verification   Study DUT and related Specification Gather requirements for features to be verified and set priorities Review Requirements with IP Architect/Designer (Requirements should cover all parameters for module) Design Test Infrastructure on paper / document (includes re-usable verification components) Review TB Architecture with Verification Team Design Test Infrastructure (includes re-usable verification components) Code Testcases as per Test-Bench Plan. Also code Functional Coverpoints / Assertions Complete Verification such that Functional Coverage 100% and Code Coverage numbers are logged. Review Code Coverage numbers with Designer to eliminate dead code possibilities. Sign off Module Level Verification by checking in the files having relevant data such as logs.
  • 16. !  SoC  Level  Verification   Study SoC and related Specification Gather requirements for critical data paths set priorities Review Requirements with IP Architect/Designer (Requirements should cover all parameters for module) Design Test Infrastructure on paper / document Identify testcases that can be re-used (includes re-usable verification components) Review TB Architecture with Verification Team Design Test Infrastructure (includes re-usable verification components) Code Testcases as per Test-Bench Plan. Also code Functional Coverpoints / Assertions Complete Verification such that Functional Coverage 100% and Code Coverage numbers are logged. Review Code Coverage numbers with Designer to eliminate dead code possibilities. Sign off SoC Verification by checking in the files having relevant data such as logs.
  • 18. !  C  type  data  types  like  int,  typedef,  struct,  union,  enum   !  Dynamic  data  types  :  struct,  classes,  dynamic  queues,   dynamic  arrays   !  New  operators  and  built  in  methods   !  Enhanced  flow  control  like,  foreach,  return,  break,   continue   !  Inter-­‐process  synchronization  –  Semaphores,   Mailboxes,  Event  Extension   !  Assertions  and  Coverage   !  Clocking  Domains   !  Direct  Programming  Interface  (DPI)  -­‐  VPI   !  Hardware  specific  procedures   REF: http://www.eetimes.com/document.asp?doc_id=1277143
  • 19. !  Provides  a  reusable  ,standard  infrastructure  in  form  of   base  classes  which  are  pre-­‐defined.  These  can  be   extended  and  enhanced  as  per  user  needs   !  Defines  rules  to  create  behavioral  models  also  known   as  Verification  Components  (OVC/UVC)   !  Defines  standards  for  higher  level  of  modelling  input   stimulus  using  Transaction  Level  Modelling  (TLM)   !  Defines  rules  to  have  a  layered  structure  of  test-­‐ benches   !  In  summary  Methodology  =  standardization  of  the   way  of  creating  complex  test-­‐benches  with   constrained  random  test-­‐vectors.  
  • 20. !  OVM   !  Open  Verification  Methodology   !  Derived  mainly  from  the  URM  (Universal  Reuse  Methodology)  which  was,  to  a  large  part,  based  on  the  eRM   (e  Reuse  Methodology)  for  the  e  Verification  Language  developed  by  Verisity  Design  in  2001   !  The  OVM  also  brings  in  concepts  from  the  Advanced  Verification  Methodology  (AVM)   !  System  Verilog   !  RVM   !  Reference  Verification  Methodology     !  Complete  set  of  metrics  and  methods  for  performing  Functional  verification  of  complex  designs   !  The  SystemVerilog  implementation  of  the  RVM  is  known  as  the  VMM  (Verification  Methodology  Manual)   !  OVL   !  Open  Verification  Language   !  OVL  library  of  assertion  checkers  is  intended  to  be  used  by  design,  integration,  and  verification  engineers  to   check  for  good/bad  behavior  in  simulation,  emulation,  and  formal  verification.     !  Accellera  -­‐  http://www.accellera.org/downloads/standards/ovl/   !  UVM   !  Standard  Universal  Verification  Methodology   !  Accellera  -­‐  http://www.accellera.org/downloads/standards/uvm   !  System  Verilog   !  OS-­‐VVM   !  VHDL   !  Accellera   OVC: OVM Verification Component UVC: Universal Verification Component
  • 21. !  Simple  reusable  OVCs   interfaced  to  a  DUT.   !  Due  to  standard  nature   third  party  OVCs  can  be   quickly  interfaced  and   used.   !  A  virtual  sequencer   controls  the  sequencer   which  controls  the  OVC   sequences  to  generate   scenarios.  
  • 22. !  Run  the  most  important  tests  first  when  you  get  a   new  build   !  Do  not  start  over  on  your  test  pass  every  time  you   receive  a  new  build   !  Regression  tests  that  have  been  run  already  many   times  are  unlikely  to  reveal  new  bugs.  If  your  testcase   fully  automated,  by  all  means,  run  all  of  them  for  each   build.   !  Prioritize  tests  into  “Must-­‐Pass”  types  with  a  more   focused  list  of  tests  which  can  reduce  the  time  of  the   regression.  Major  builds  will  warrant  running  all   testcases.   !  Automate  whenever  it  makes  sense  to  do  so.  
  • 23. !  Automation  is  a  means  of  reducing  manual   effort  in  running  repetitive  tasks  such  as   regressions.   !  Automation  can  be  done  also  in  creating  test-­‐ benches  so  that  a  standard  infrastructure  is   maintained  across  the  team.   !  This  can  be  done  using  PERL  scripts.   !  Why  use  PERL  ?   -­‐  Free  and  works  with  most  UNIX  and  Linux  versions   -­‐  Ease  to  work  with  ,  smaller  learning  curve.   -­‐  Advance  PERL  with  OOPs  available  makes  scripting   easier  
  • 24. !  Scripting   !  PERL,  Python,  C++   !  Languages  and  Methodologies   !  Verilog,  VHDL,  System  Verilog,  UVM,  OVM,  VMM   !  Problem  Solving  Skills   !  Diligent  and  Methodical   !  Documentation  Skills   !  Reading  Skills!  
  • 25. Visit my slideshare to view all these presentations
  • 26. Shivananda  (Shivoo)  R  Koteshwar   Director,  Mediatek   shivoo.koteshwar@gmail.com/  Facebook:  shivoo.koteshwar   BLOG:  http://shivookoteshwar.wordpress.com   SLIDESHARE:  www.slideshare.net/shivoo.koteshwar