SlideShare une entreprise Scribd logo
1  sur  18
ASIC DESIGN FLOW
Submitted To:- Submitted By:-
Manju K. Chattopadhyay Purvi Medawala
14MTES11
TABLE OF CONTENTS
 Introduction
 ASIC Design Flow
 Specification
 RTL Coding
 Test Bench & Simulation
 Synthesis
 Pre-layout Timing Analysis
 APR
 Back Annotation
 Post-layout Timing Analysis
 Logic Verification
 Tapeout
What is ASIC ?
 Application Specific
Integrated Circuit
 Build by connecting
existing circuit blocks in
new ways
 High speed, Lesser area &
power consumption, more
time to market
ASIC DESIGN FLOW
SPECIFICATION
 Features and functionalities of ASIC are defined
 Chip planning is performed
 Architecture and microarchitecture are derived
RTL CODING
 Microarchitecture converted into synthesizable RTL code
containing logic functionalities
 Graphical Tools like Summit Design’s or Mentor Graphics
are used
 Sometimes code is written manually
RTL CODING cont..
TEST BENCH AND SIMULATION
 Test bench created to
simulate RTL code using HDL
simulators
 Cadence’s Verilog XL, Mentor
Graphic’s Modelsim are used
 Finally logically correct RTL
code obtained
SYNTHESIS
 RTL code converted into optimized logic gate level
representation
 Synthesis tools like Synopsys’s Design Compiler &
Cadence’s Ambit used
 “technology library” file & “constraints file” used
PRE-LAYOUT TIMING ANALYSIS
 synthesized database
along with timing
information from the
synthesis process used to
perform a Static Timing
Analysis
 Tweaking (making small
changes) has to be done
to correct any timing
issues
AUTOMATIC PLACE AND ROUTE (APR)
 Layout is produced
 synthesized database together with timing information
from synthesis is used to place the logic gates
 Designs have timing critical path
BACK ANNOTATION
 process where extraction for RC parasitics are made from
the layout.
 path delay is calculated from these RC parasitics
 Back annotation is the step that bridges synthesis and
physical layout
POST-LAYOUT TIMING ANALYSIS
 allows real timing
violations such as hold
and setup to be detected
 net interconnect delay
information is fed into the
timing analysis and any
setup violation is fixed
LOGIC VERIFICATION
 the final check to ensure the design is correct functionally after
additional timing information from layout
 Design is re-simulated using test benches with timing information
from layout
 If there are failures, fix it by moving back to step 2 or step 8
TAPEOUT
 When design passes
logical verification, its
ready for fabrication
 The tapeout design is in
the form of GDSII file,
which will be accepted by
the foundry
REFRENCES
 Verilog Coding for Logic Synthesis, edited by Weng
Fook Lee, John Wiley and Sons, Inc.
 CMOS Digital Integrated Circuits , Analysis and
Design by Sung-Mo Kang & Yusuf Leblebici, TMH
ANY QUESTIONS ????
THANK YOU !
 

Contenu connexe

Tendances

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 

Tendances (20)

Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Physical design
Physical design Physical design
Physical design
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Eco
EcoEco
Eco
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
ASIC Design.pdf
ASIC Design.pdfASIC Design.pdf
ASIC Design.pdf
 

En vedette

ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementationskerlj
 
Asic backend design
Asic backend designAsic backend design
Asic backend designkbipeen
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentationpstrada
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit DesignDr.YNM
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttionVishal S Pai
 
Swindon the making of an asic
Swindon the making of an asicSwindon the making of an asic
Swindon the making of an asicSwindinSilicon
 
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flowravi4all
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentationDaola Khungur
 

En vedette (20)

ASIC
ASICASIC
ASIC
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Asic
AsicAsic
Asic
 
Asic pd
Asic pdAsic pd
Asic pd
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
FPGA
FPGAFPGA
FPGA
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
DSD
DSDDSD
DSD
 
Fpga design flow
Fpga design flowFpga design flow
Fpga design flow
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttion
 
Swindon the making of an asic
Swindon the making of an asicSwindon the making of an asic
Swindon the making of an asic
 
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
WiGig PPT
WiGig PPTWiGig PPT
WiGig PPT
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
What is FPGA?
What is FPGA?What is FPGA?
What is FPGA?
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 

Similaire à ASIC Design Flow: From Specification to Tapeout

Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resumePunit Shah
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resumePunit Shah
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resumePunit Shah
 
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET Journal
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016Renjini K
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016srkkakarla
 
Varun Gatne - Resume - Final
Varun Gatne - Resume - FinalVarun Gatne - Resume - Final
Varun Gatne - Resume - FinalVarun Gatne
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsSpace Codesign
 
Background And An Architecture Example
Background And An Architecture ExampleBackground And An Architecture Example
Background And An Architecture ExampleGlen Wilson
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture explorationDeepak Shankar
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 

Similaire à ASIC Design Flow: From Specification to Tapeout (20)

Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resume
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resume
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resume
 
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
JeanJacob
JeanJacobJeanJacob
JeanJacob
 
Resume
ResumeResume
Resume
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Unit-4_ESE.pdf
Unit-4_ESE.pdfUnit-4_ESE.pdf
Unit-4_ESE.pdf
 
Alex16_ic
Alex16_icAlex16_ic
Alex16_ic
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016
 
UIC Thesis Candiloro
UIC Thesis CandiloroUIC Thesis Candiloro
UIC Thesis Candiloro
 
Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016Kakarla Sriram K _resume_sep_2016
Kakarla Sriram K _resume_sep_2016
 
Vlsi
VlsiVlsi
Vlsi
 
Varun Gatne - Resume - Final
Varun Gatne - Resume - FinalVarun Gatne - Resume - Final
Varun Gatne - Resume - Final
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
 
Resume
ResumeResume
Resume
 
Background And An Architecture Example
Background And An Architecture ExampleBackground And An Architecture Example
Background And An Architecture Example
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture exploration
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 

ASIC Design Flow: From Specification to Tapeout

  • 1. ASIC DESIGN FLOW Submitted To:- Submitted By:- Manju K. Chattopadhyay Purvi Medawala 14MTES11
  • 2. TABLE OF CONTENTS  Introduction  ASIC Design Flow  Specification  RTL Coding  Test Bench & Simulation  Synthesis  Pre-layout Timing Analysis  APR  Back Annotation  Post-layout Timing Analysis  Logic Verification  Tapeout
  • 3. What is ASIC ?  Application Specific Integrated Circuit  Build by connecting existing circuit blocks in new ways  High speed, Lesser area & power consumption, more time to market
  • 5. SPECIFICATION  Features and functionalities of ASIC are defined  Chip planning is performed  Architecture and microarchitecture are derived
  • 6. RTL CODING  Microarchitecture converted into synthesizable RTL code containing logic functionalities  Graphical Tools like Summit Design’s or Mentor Graphics are used  Sometimes code is written manually
  • 8. TEST BENCH AND SIMULATION  Test bench created to simulate RTL code using HDL simulators  Cadence’s Verilog XL, Mentor Graphic’s Modelsim are used  Finally logically correct RTL code obtained
  • 9. SYNTHESIS  RTL code converted into optimized logic gate level representation  Synthesis tools like Synopsys’s Design Compiler & Cadence’s Ambit used  “technology library” file & “constraints file” used
  • 10. PRE-LAYOUT TIMING ANALYSIS  synthesized database along with timing information from the synthesis process used to perform a Static Timing Analysis  Tweaking (making small changes) has to be done to correct any timing issues
  • 11. AUTOMATIC PLACE AND ROUTE (APR)  Layout is produced  synthesized database together with timing information from synthesis is used to place the logic gates  Designs have timing critical path
  • 12. BACK ANNOTATION  process where extraction for RC parasitics are made from the layout.  path delay is calculated from these RC parasitics  Back annotation is the step that bridges synthesis and physical layout
  • 13. POST-LAYOUT TIMING ANALYSIS  allows real timing violations such as hold and setup to be detected  net interconnect delay information is fed into the timing analysis and any setup violation is fixed
  • 14. LOGIC VERIFICATION  the final check to ensure the design is correct functionally after additional timing information from layout  Design is re-simulated using test benches with timing information from layout  If there are failures, fix it by moving back to step 2 or step 8
  • 15. TAPEOUT  When design passes logical verification, its ready for fabrication  The tapeout design is in the form of GDSII file, which will be accepted by the foundry
  • 16. REFRENCES  Verilog Coding for Logic Synthesis, edited by Weng Fook Lee, John Wiley and Sons, Inc.  CMOS Digital Integrated Circuits , Analysis and Design by Sung-Mo Kang & Yusuf Leblebici, TMH