SlideShare une entreprise Scribd logo
1  sur  4
Télécharger pour lire hors ligne
WELL TAPPING METHODOLOGIES IN POWER-GATING DESIGN

                                         Kaijian Shi1 and David Tester2

                                                             1                                         2
                 Cadence Design Systems, Dallas, USA and Structured Custom, Cambridge, UK
                         kaijians@cadence.com and david.tester@structured-custom.com


ABSTRACT                                                             The first method implements always-on tap cells to
   65nm and beyond CMOS designs are commonly                     keep n-well biased at VDD when the design operates
implemented with “tapless” library cells which do not            in the shutdown mode, i.e. power gated.
provide built-in n-well or substrate taps, improving cell            The second method requires built-in taps in the PM
density. This cell efficiency results in additional layout       cells to maintain well biasing of the PM cells when the
complexity for power-gating designs. Three well                  design is in the shutdown mode.
tapping methods are described for production power-                  The third method partitions the design into always-
gating designs considering design schedule, leakage              on and shut-down regions. The PM cells are placed
power, chip area and complexity.                                 exclusively in the always-on region to sustain required
                                                                 n-well biasing.
I. INTRODUCTION
                                                                      Each method has advantages and shortcomings.
    Tapless designs have been popular in 65nm and
                                                                 The choice of method depends on the considerations
beyond CMOS designs to increase cell density and
                                                                 and priorities on leakage power, silicon utilization
silicon area efficiency. In tapless designs, the logic is
                                                                 efficiency and implementation complexity.
implemented using cells which do not have built-in tap
contacts connecting n-well and p-substrate to power                  In the following part of the paper, the methods will
and ground rails in the cells. To prevent latch-up and           be described in detail. Considerations for reliable
maintain proper transistor back biasing, tap cells               production power-gating designs will be discussed.
which have built-in contacts to n-well and p-substrate           Overheads and tradeoffs will be explained. Next, the
are inserted in the layout at required intervals to              three methods will be compared in terms of impact on
connect n-wells to VDD and p-substrate to VSS,                   leakage power, silicon utilization efficiency and
based on design rules defined in the technology DRC              implementation complexity. Finally, recommendations
file. The n-wells of tapless logic cells extend out of the       will be provided for selection of a method based on
cell boundaries to ensure n-well connections when                design goals and priorities.
the cells are placed next to each other. Consequently,
                                                                 II. ALWAYS-ON TAP CELL BASED METHOD
n-well and p-substrate regions of the logic cells are
properly biased by power and ground supplies.                        The first method provides dedicated power supply
through the tap cells insertedin the design..                    to n-wells using the tap cells to keep n-wells of the
                                                                 design biased to VDD in shutdown mode. This
    The tap insertion becomes complicated in power-
                                                                 requires an always-on tap cell, since the normal tap
gating designs [1-6] where logic cells can be
                                                                 cells are not powered in the shutdown mode.
powered-off while power management (PM) cells,
such as power switch cells, isolation cells, retention           A. Always-on tap cell vs. normal tap cell
registers and always-on logic cells, must remain                    The normal tap cell is a simple design (Fig. 1a)
powered to maintain controllability and state retention          which has two metal contacts; one connects n-well to
within the design. For power-gating designs that                 VDD rail and the other connects p-substrate to VSS.
implement header switches to shut off power supply,              When the tap cells are inserted into a design, their
the main challenge is to maintain proper n-well bias in          VDD and VSS rails are connected, by abutment, to
those logic cells that are powered-off and the PM cells          the power and ground network of the design to
that remain alive.                                               provide n-well bias. In the power-gating design, the
    Three well tapping methods are described in this             power supply to VDD rails is shut-off in the shutdown
paper, addressing challenges in power-gating designs             mode. To maintain the power supply to the n-well, the
using tapless standard cells. The methods have been              n-well contact in the tap cell must be separated from
applied successfully to production designs meeting               the VDD rail and directly connected to the power
different design goals and priorities.                           supply. This design change is depicted in Fig. 1b
                                                                 resulting in the always-on tap cell where the n-well
tap becomes a pin that can be connected to the chip            logic never reaches close to ground because the
power supply. The p-substrate is still connected to            switch cells are far from ideal and considerably leaky
                                                                                               a
VSS rail to maintain well bias as the VS rail remains
                                       SS                      in 40nm node and beyond. Also, the size ratio is
                                                                                               A
connected in the shutdown mode.                                determined by IR-drop constraints and power density
                                                               of the design in normal oper    ration mode. Table 1
                                                               shows the leakage penalty of always-on n-well
                                                               biasing from SPICE simulation of a small test case in
                                                               different technology nodes and Vth’s. Size ratio of the
                                                               switch to logic cells is 0.096.

                                                                        Table I. Ioff in gated nwell vs always-on nwell
                                                                                                      s.
                                                                               Ioff_well_off     Ioff
                                                                                                    f_well_on
                                                                 Node/Vth                                          Ioff_ratio
       Figure 1 a) normal tap cell, b) always-o tap cell
                                              on                                      (nA)              (nA)
                                                                 28HP/LVt           16.2              77.4            4.78
B. Method description                                            28HP/SVt          15.78             153.4            9.72
    For a single domain power-gating design, the
                                           g                     28HP/HVt           12.9             57.65            4.47
always-on tap cells are inserted at the intervals
defined by the technology tapping rules. Then, the               40LP/LVt          0.169              1.06            6.27
n-well pins of the tap cells are routed to connect the           40LP/SVt          0.166              1.43            8.61
always-on VDD supply network in the design to get                40LP/HVt          0.143              1.05            7.33
constant power supply. Since n-wells of the tapless
logic cells are overlapped with adjacen cells in each
                                          nt                     65LP/LVt          0.082             0.443            5.40
row in the layout, forming continuing n-w  wells in the cell     65LP/SVt          0.081             0.439            5.42
rows, the n-wells of the logic cells are biased by the           65LP/HVt          0.070             0.467            6.67
always-on VDD through the tap cells’ n-   -wells.
    The main advantage of the metho is that it is
                                          od
simple to implement, leveraging the e     existing normal         In power-gating designs with both always-on and
tap insertion flow. It also results in highest silicon         power gated power domains, th always-on tap cells
                                                                                                he
utilization efficiency compared with o   other methods,        are inserted in the power gated domains. For always-
                                                                                              d
due to no additional well spacing requ    uirement in cell     on domains, normal tap cells are use to avoid VDD
                                                                                                a
placement. Moreover, it does not impo constraints
                                          ose                  power routing needed for always-on taps.
                                                                                               a                  An
on PM cell placement which helps phys     sical synthesis.     example of the method implem   mented in a two power
However, the method incurs a leakage power penalty             domain design is shown in Fi 2. The bottom left
                                                                                                ig.
in the shutdown mode because n-w         wells of pMOS         domain is always-on with norm tap cells inserted
                                                                                                mal
transistors are biased at VDD while the power supply
                                          e                    aligned to the rails. Always-on taps were implemented
to the transistors is shutoff. This create a significant
                                          es                   in the rest of the design with tap n-well pins
                                                                                                w
bias from n-well to drain and gate of p   pMOS resulting       connected to the always-on VDD straps next to them.
                                                                                                D
in higher junction and gate leakag in pMOS.ge
Consequently, the shutdown mode leakage of a                   III. TAP PM CELL BASED MET        THOD
design can increase up to 10 times depending on                    For leakage critical designs, the leakage penalty in
technology nodes, Vth cell types and s    size ratio of the    the always-on tap cell based method might not meet
                                                                                                m
switch and logic cells. At smaller tech    hnology nodes       the leakage target. In that case, it is necessary to shut
                                                                                                 ,
this causes a higher leakage penalt due to the
                                           ty                  off the power supply to the n-w taps. However, PM
                                                                                                well
thinner tox and hence larger well lea     akage. On the        cells are active in shutdown mode so n-wells in the
                                                                                                m
other hand, lower Vth logic cells ha       ave a smaller       PM cells must remain being biased at VDD to be
leakage penalty because the reductio of the sub-
                                          on                   functional. To address this issue, the tap PM cell
threshold leakage from the reversed back biasing               based method has been developed.
becomes more effective and the re          elatively large         The method implements sp       pecially designed PM
leakage makes the n-well leakage co       ontributing part     cells containing built-in n-well taps that connect to
relatively less effective. As we look to th dependency
                                          he                   their internal always-on power straps (Fig. 3) keeping
                                                                                                  s
on the transistor size ratio of the sw   witch and logic       n-well biased in shutdown mode, This results in a
cells, a smaller ratio results in larger le
                                          eakage penalty       mixed tap and tapless design where PM cells are tap
                                                                                                 w
due to lower shutdown voltage on the logic cells and           cells and rest of the logic cells are tapless cells. In the
                                                                                                 a
hence lower cell leakage and higher n-w leakage. It
                                           well                shutdown mode, the power su        upply to the tap cells
is worth mentioning that the shutdown voltage on the           inserted in the tapless design re egions is shut off which
Figure 3. Tap PM cell (double row)


         Figure 2. Domain-based tap insertion e
                                              example        region based method describe in the next section.
                                                                                              ed
                                                             PM cells can be freely placed in optimal positions as
                                                                                               i
in turn shuts off power to the n-well of l
                                         logic cells. The    long as their always-on VDD pin can be routed to the
                                                                                               ns
n-well of the PM cells is biased through internal taps       always-on power straps. Ho        owever, the method
to the always-on VDD, maintaining norm operation.
                                        mal                  requires custom PM cells and area wasted at left and
                                                                                               a
    Since n-well of the PM cells is biased while             right boundaries of the PM cell is significant lowering
surrounding n-well in the tapless lo     ogic cells are      silicon utilization efficiency cons
                                                                                               siderably since power-
shutoff, the n-well of PM cells can no longer overlap        gating designs often impleme tens of thousands
                                                                                              ent
n-well in surrounding tapless cells. Well spacing            switches, always-on buffers and isolation cells.
                                                                                               d
between PM cells and tapless cells m    must satisfy the
hot-well spacing rule defined in the te echnology. It is     IV. ALWAYS-ON REGION BAS        SED METHOD
worth noting that the n-well of a tapless cell is               This method has been developed to avoid the
extended beyond the cell boundary so n-wells of the          leakage penalty of the first met thod and the design of
tapless cells are overlapped forming a continuous n-         custom tap PM cells in the se    econd method. In this
well. This tapless cell n-well extension w intrude into
                                         will                case, PM cells are all tapless cells requiring tap cell
the PM cell placed next to the tapless cell, and             insertion to maintain n-well bias To address the need
                                                                                             s.
therefore must be considered in the ho   ot-well spacing     of separating n-wells of the PM cells from the n-wells
                                                                                             M
check. Consequently, PM cells need considerable
                                        d                    of the logic cells, placement reg
                                                                                             gions, called always-on
space at cell boundaries, consuming s    silicon area. In    regions, are created exclusively for PM cells. Each
production designs, cells are common mirrored on
                                        nly                  always-on region has its own dedicated always-on
adjacent rows and n-wells of cells in the mirrored rows
                                        e                    VDD rails separated from rails outside the region. The
                                                                                              o
overlap. This is leveraged in designin the tap PM
                                        ng                   n-well of the cells in the regio is connected to the
                                                                                             on
cells to occupy both mirrored rows to hide the n-wells       always-on VDD through tap cells inserted in the
of the PM cell from the top and bott     tom of its cell     region. These always-on region are placed cross the
                                                                                             ns
boundaries and hence eliminate needs of the hot-well         chip based on the prediction of the needs and
                                                                                              n
spacing at top and bottom to improve a   area efficiency.    positions of the PM cell         ls in the physical
Fig. 3 shows an example PM cell.                             implementation. In the physic synthesis, the PM
                                                                                             cal
   Only those PM cells that require pM  MOS transistors      cells are only allowed to be pla aced in the always-on
to be active in shutdown mode need bu   uilt-in well taps.   regions. An illustration example is shown in Fig. 4.
For those isolation-low cells which imp  plement a pull-        The always-on regions are shown in red. The top
                                                                                              s
down nMOS at the output, there is no need for the tap        and bottom regions are for switc cells. The region on
                                                                                              ch
version cells, because pMOS transistors of the cell do       the right is where the output iso
                                                                                             olation cells are placed.
not contribute to the isolation in the shhutdown mode        The four regions in the middle of the block are created
                                                                                             o
and so isolation-low cells can be taplesss.                  to place always-on repeaters.
The main advantage of the method is low leakage                 Advantages of the method are low leakage power
                                                                                             a
power in shutdown mode, since n-we of the logic
                                         ell                 in shutdown mode and no need to create the custom
                                                                                              d
cells are not biased. Impact on the physical                 tap PM cells. However, the method introduces
                                                                                              e
implementation is much smaller than the always-on
                                         e                   considerable physical implem    mentation complexity.
Moreover, the region creation and p       placement are      timing models of the tapless PM cells, as modification
                                                                                             M
highly design dependent and difficult to predict. It
                                          t                  has minimal impact on fun       nctional layout. Area
could often result in lower silicon utiliza
                                          ation efficiency   overhead of the method is usua less than 5%.
                                                                                             ally
and negative impact on design timing an routability.
                                          nd                    The always-on region based method is much more
                                                             complicated to implement and less predictable in its
                                                             effect on the design. Moreove it often impacts the
                                                                                             er,
                                                             design timing and routability. The area overhead
                                                             varies with the quality of the always-on region
                                                                                             t
                                                             planning and could be significant. However, the
                                                             method does not introduce a leakage penalty, nor
                                                             requires development of custom PM cells.
                                                                                             m
                                                                The choice of the method depends on the design
                                                                                             d
                                                             goals and priority in terms of design performance,
                                                                                              f
                                                             leakage power, schedule, and silicon area. If
                                                                                              a
                                                             development schedule and pe     erformance are higher
                                                             priorities than leakage power in shutdown mode, the
                                                                                              n
                                                             always-on tap based method is the choice. On the
                                                             other hand, for battery operated designs where
                                                             shutdown mode leakage is critic and area efficiency
                                                                                               cal
                                                             is less important, the tap PM cell based method is a
                                                                                             c
            Figure 4. Always-on region based m
                                             method          good choice. In the case where design resources are
                                                                                             e
                                                             not available to create the tap PM cells, the always-on
                                                                                             P
    An always-on region can be created by either an          region based method is an alter rnative method.
exclusive region or a custom placeme site. In the
                                        ent
former case, a special filler cell not containing n-well     VI. SUMMARY
is needed at region boundaries to se     eparate the n-         Three well tapping methods have been developed
wells from outside. VDD rails in th region are
                                        he                   to address the challenges in the tapless power-gating
                                                                                            e
assigned to the always-on VDD and s     separated from       designs. The methods are described with
                                                                                            a
rails outside of the region.                                 implementation details. Each method has advantages
                                                                                           m
    In practice, always-on region plannin is done after
                                        ng                   and shortcomings which are discussed and
initial physical implementation to scope how many PM         compared. The proper choice of the method depends
                                                                                            o
cells are needed and where they should be placed.            on design goals and priorities in terms of design
Assuming PM cells can be clustered into regions, the         performance, leakage power, development schedule,
                                                                                            d
always-on regions are created and pla   aced based on        and silicon area. The method have been applied
                                                                                            ds
size and position requirements. To ensu the regions
                                         ure                 successfully to production pow  wer-gating designs to
can hold the required PM cells, always- regions are
                                        -on                  meet different design goals and priorities.
often created larger than actually needed. This
reduces silicon utilization efficiency.                      REFERENCES
                                                             [1] Kaushik Roy, Saibal Mukhopadhy
                                                                                              yay, and Hamid Mahmoodi-
    Always-on regions also add placeme constraints
                                         ent                       meimand, “Leakage current mecha   anism and leakage reduction
and obstructions, impacting placemen and routing.
                                        nt                         techniques in deep-submicrometer CMOS circuits”, Proc.
This could result in sub-optimal physical synthesis.               IEEE Vol. 91, no. 2, Feb. 2003
                                                             [2]   M. Anis, S. Areibi and M. Elmasry, “Design and optimization of
                                                                                                     ,
V. COMPARISON AND RECOMMEND              DATION                    multi-threshold CMOS (MTCMOS) circuits”, IEEE Trans. CAD-
                                                                                                     )
                                                                   ICS, 2003
     Each method is appropriate for volu ume production      [3]   Benton H Calhoun, Frank A Honore and Anantha P
designs and has advantages and shor       rtcomings. The           Chandrakasan, “A leakage re       eduction methodology for
always-on tap cell based method is simple to                       distributed MTCMOS”, IEEE J. Solid-State Circuits, vol. 39,
                                                                                                     S
implement with little impact on timing, routability and            no. 5, May, 2004, pp. 818-826
                                                             [4]   Kaijian Shi and David Howard, “S Sleep Transistor Design and
silicon utilization efficiency at the expen of leakage
                                          nse                      Implementation – Simple Concepts Yet Challenges To Be
power.                                                             Optimum”, Proc.. IEEE VLSI-DAT, April, 2006
     The tap PM cell method is easy to implement with        [5]   David Flynn, Michael Keating, Robert Aitken, Alan Gibbons
little impact on timing and routability. I does require
                                          It                       and Kaijian Shi , “Low Power Methhodology Manual for System-
                                                                   on-Chip Design”, Springer, 2007
custom design of tap PM cells, though they can be
                                                             [6]   Kaijian Shi, Zhian Lin, Yi-min Jian Lin Yuan “Simultaneous
                                                                                                     ng,
relatively easy to create by adding well taps and                  Sleep Transistor Insertion and Po ower Network Synthesis for
extending cell boundaries of the taples version PM
                                          ss                       Industrial Power Gating Design    ns”, Journal of Computer,
cells that are already available. It is fea
                                          asible to reuse          Academy Publisher Vol. 3 No.3 March, 2008
                                                                                                    M

Contenu connexe

Tendances

Thesis L Leyssenne - November 27th 2009 - Part2
Thesis L Leyssenne - November 27th 2009 - Part2Thesis L Leyssenne - November 27th 2009 - Part2
Thesis L Leyssenne - November 27th 2009 - Part2Laurent Leyssenne
 
A Multiband Lna with Switched Loads and Wideband Input Impedance Matching
A Multiband Lna with Switched Loads and Wideband Input Impedance MatchingA Multiband Lna with Switched Loads and Wideband Input Impedance Matching
A Multiband Lna with Switched Loads and Wideband Input Impedance MatchingRFIC-IUMA
 
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFET
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFETTCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFET
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFETIDES Editor
 
Generator Assessment Process - Level 2
Generator Assessment Process - Level 2Generator Assessment Process - Level 2
Generator Assessment Process - Level 2Anil Panjani
 
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...IDES Editor
 
2 pfc katalog may2010
2 pfc katalog may20102 pfc katalog may2010
2 pfc katalog may2010angeloneha
 
A Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksA Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksIDES Editor
 
A CMOS Low Voltage Folded Cascode LNA for Wideband Applications
A CMOS Low Voltage Folded Cascode LNA for Wideband ApplicationsA CMOS Low Voltage Folded Cascode LNA for Wideband Applications
A CMOS Low Voltage Folded Cascode LNA for Wideband ApplicationsRFIC-IUMA
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsIJERA Editor
 
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...Design of a low-power compact CMOS variable gain amplifier for modern RF rece...
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...journalBEEI
 

Tendances (18)

Thesis L Leyssenne - November 27th 2009 - Part2
Thesis L Leyssenne - November 27th 2009 - Part2Thesis L Leyssenne - November 27th 2009 - Part2
Thesis L Leyssenne - November 27th 2009 - Part2
 
Bi32385391
Bi32385391Bi32385391
Bi32385391
 
A Multiband Lna with Switched Loads and Wideband Input Impedance Matching
A Multiband Lna with Switched Loads and Wideband Input Impedance MatchingA Multiband Lna with Switched Loads and Wideband Input Impedance Matching
A Multiband Lna with Switched Loads and Wideband Input Impedance Matching
 
Pv lez 6
Pv lez 6Pv lez 6
Pv lez 6
 
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFET
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFETTCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFET
TCAD Based Analysis of Gate Leakage Current for High-k Gate Stack MOSFET
 
Generator Assessment Process - Level 2
Generator Assessment Process - Level 2Generator Assessment Process - Level 2
Generator Assessment Process - Level 2
 
Hw2514161420
Hw2514161420Hw2514161420
Hw2514161420
 
Ia ec200-c-r1
Ia ec200-c-r1Ia ec200-c-r1
Ia ec200-c-r1
 
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...
An Explicit Approach for Dynamic Power Evaluation for Deep submicron Global I...
 
15
1515
15
 
DS25CP102 (English)
DS25CP102 (English)DS25CP102 (English)
DS25CP102 (English)
 
2 pfc katalog may2010
2 pfc katalog may20102 pfc katalog may2010
2 pfc katalog may2010
 
A Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksA Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer Networks
 
49
4949
49
 
38
3838
38
 
A CMOS Low Voltage Folded Cascode LNA for Wideband Applications
A CMOS Low Voltage Folded Cascode LNA for Wideband ApplicationsA CMOS Low Voltage Folded Cascode LNA for Wideband Applications
A CMOS Low Voltage Folded Cascode LNA for Wideband Applications
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...Design of a low-power compact CMOS variable gain amplifier for modern RF rece...
Design of a low-power compact CMOS variable gain amplifier for modern RF rece...
 

En vedette

Silicon South West
Silicon South WestSilicon South West
Silicon South WestDavid Tester
 
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radioDavid Tester
 
Less than 1 second GPS hot-start TTF below -150dBm without A-GPS
Less than 1 second GPS hot-start TTF below -150dBm without A-GPSLess than 1 second GPS hot-start TTF below -150dBm without A-GPS
Less than 1 second GPS hot-start TTF below -150dBm without A-GPSDavid Tester
 
Study: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsStudy: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsLinkedIn
 

En vedette (9)

NMI 2008 foils
NMI 2008 foilsNMI 2008 foils
NMI 2008 foils
 
SNUG 2011 paper
SNUG 2011 paperSNUG 2011 paper
SNUG 2011 paper
 
Silicon South West
Silicon South WestSilicon South West
Silicon South West
 
SNUG 2009 paper
SNUG 2009 paperSNUG 2009 paper
SNUG 2009 paper
 
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio
10.7mW, 2.1 sq mm, 0.13um CMOS GPS radio
 
Less than 1 second GPS hot-start TTF below -150dBm without A-GPS
Less than 1 second GPS hot-start TTF below -150dBm without A-GPSLess than 1 second GPS hot-start TTF below -150dBm without A-GPS
Less than 1 second GPS hot-start TTF below -150dBm without A-GPS
 
SSEP 2009 foils
SSEP 2009 foilsSSEP 2009 foils
SSEP 2009 foils
 
SNUG 2009 foils
SNUG 2009 foilsSNUG 2009 foils
SNUG 2009 foils
 
Study: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving CarsStudy: The Future of VR, AR and Self-Driving Cars
Study: The Future of VR, AR and Self-Driving Cars
 

Similaire à IEEE SOCC 2011 paper

VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET Journal
 
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...IJTET Journal
 
Distancecalculationforundergroundcablefault
DistancecalculationforundergroundcablefaultDistancecalculationforundergroundcablefault
DistancecalculationforundergroundcablefaultsmartXBrains
 
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryOptimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryIJASCSE
 
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...IDES Editor
 
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...IJMTST Journal
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET Journal
 
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...IJERA Editor
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
 
under grund fault ppt (1).pptx
under grund fault ppt (1).pptxunder grund fault ppt (1).pptx
under grund fault ppt (1).pptxPoojaG86
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
 
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...f95346
 
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET-  	  Power Scheduling Algorithm based Power Optimization of MpsocsIRJET-  	  Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET- Power Scheduling Algorithm based Power Optimization of MpsocsIRJET Journal
 

Similaire à IEEE SOCC 2011 paper (20)

VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
 
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...
PowerReduction in Silicon Ips for Cross-IPInterconnections Using On-Chip Bias...
 
52 57
52 5752 57
52 57
 
Distancecalculationforundergroundcablefault
DistancecalculationforundergroundcablefaultDistancecalculationforundergroundcablefault
Distancecalculationforundergroundcablefault
 
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage RecoveryOptimal State Assignment to Spare Cell inputs for Leakage Recovery
Optimal State Assignment to Spare Cell inputs for Leakage Recovery
 
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
Optimal Capacitor Placement in a Radial Distribution System using Shuffled Fr...
 
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
A Novel Integrated AC-DC Five Level Converter Strategy for Power Factor Corre...
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
 
Hy2414011407
Hy2414011407Hy2414011407
Hy2414011407
 
sirishamadishetty
sirishamadishettysirishamadishetty
sirishamadishetty
 
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...
Dual-Level Adaptive Supply Voltage System with Bandgap Reference MPR for Vari...
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
 
under grund fault ppt (1).pptx
under grund fault ppt (1).pptxunder grund fault ppt (1).pptx
under grund fault ppt (1).pptx
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
 
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
Analysis of example_capacitor_bank_switching_solution_and_recommendations_for...
 
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
 
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET-  	  Power Scheduling Algorithm based Power Optimization of MpsocsIRJET-  	  Power Scheduling Algorithm based Power Optimization of Mpsocs
IRJET- Power Scheduling Algorithm based Power Optimization of Mpsocs
 

Dernier

Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationSafe Software
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?XfilesPro
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAndikSusilo4
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxKatpro Technologies
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 

Dernier (20)

Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & Application
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 

IEEE SOCC 2011 paper

  • 1. WELL TAPPING METHODOLOGIES IN POWER-GATING DESIGN Kaijian Shi1 and David Tester2 1 2 Cadence Design Systems, Dallas, USA and Structured Custom, Cambridge, UK kaijians@cadence.com and david.tester@structured-custom.com ABSTRACT The first method implements always-on tap cells to 65nm and beyond CMOS designs are commonly keep n-well biased at VDD when the design operates implemented with “tapless” library cells which do not in the shutdown mode, i.e. power gated. provide built-in n-well or substrate taps, improving cell The second method requires built-in taps in the PM density. This cell efficiency results in additional layout cells to maintain well biasing of the PM cells when the complexity for power-gating designs. Three well design is in the shutdown mode. tapping methods are described for production power- The third method partitions the design into always- gating designs considering design schedule, leakage on and shut-down regions. The PM cells are placed power, chip area and complexity. exclusively in the always-on region to sustain required n-well biasing. I. INTRODUCTION Each method has advantages and shortcomings. Tapless designs have been popular in 65nm and The choice of method depends on the considerations beyond CMOS designs to increase cell density and and priorities on leakage power, silicon utilization silicon area efficiency. In tapless designs, the logic is efficiency and implementation complexity. implemented using cells which do not have built-in tap contacts connecting n-well and p-substrate to power In the following part of the paper, the methods will and ground rails in the cells. To prevent latch-up and be described in detail. Considerations for reliable maintain proper transistor back biasing, tap cells production power-gating designs will be discussed. which have built-in contacts to n-well and p-substrate Overheads and tradeoffs will be explained. Next, the are inserted in the layout at required intervals to three methods will be compared in terms of impact on connect n-wells to VDD and p-substrate to VSS, leakage power, silicon utilization efficiency and based on design rules defined in the technology DRC implementation complexity. Finally, recommendations file. The n-wells of tapless logic cells extend out of the will be provided for selection of a method based on cell boundaries to ensure n-well connections when design goals and priorities. the cells are placed next to each other. Consequently, II. ALWAYS-ON TAP CELL BASED METHOD n-well and p-substrate regions of the logic cells are properly biased by power and ground supplies. The first method provides dedicated power supply through the tap cells insertedin the design.. to n-wells using the tap cells to keep n-wells of the design biased to VDD in shutdown mode. This The tap insertion becomes complicated in power- requires an always-on tap cell, since the normal tap gating designs [1-6] where logic cells can be cells are not powered in the shutdown mode. powered-off while power management (PM) cells, such as power switch cells, isolation cells, retention A. Always-on tap cell vs. normal tap cell registers and always-on logic cells, must remain The normal tap cell is a simple design (Fig. 1a) powered to maintain controllability and state retention which has two metal contacts; one connects n-well to within the design. For power-gating designs that VDD rail and the other connects p-substrate to VSS. implement header switches to shut off power supply, When the tap cells are inserted into a design, their the main challenge is to maintain proper n-well bias in VDD and VSS rails are connected, by abutment, to those logic cells that are powered-off and the PM cells the power and ground network of the design to that remain alive. provide n-well bias. In the power-gating design, the Three well tapping methods are described in this power supply to VDD rails is shut-off in the shutdown paper, addressing challenges in power-gating designs mode. To maintain the power supply to the n-well, the using tapless standard cells. The methods have been n-well contact in the tap cell must be separated from applied successfully to production designs meeting the VDD rail and directly connected to the power different design goals and priorities. supply. This design change is depicted in Fig. 1b resulting in the always-on tap cell where the n-well
  • 2. tap becomes a pin that can be connected to the chip logic never reaches close to ground because the power supply. The p-substrate is still connected to switch cells are far from ideal and considerably leaky a VSS rail to maintain well bias as the VS rail remains SS in 40nm node and beyond. Also, the size ratio is A connected in the shutdown mode. determined by IR-drop constraints and power density of the design in normal oper ration mode. Table 1 shows the leakage penalty of always-on n-well biasing from SPICE simulation of a small test case in different technology nodes and Vth’s. Size ratio of the switch to logic cells is 0.096. Table I. Ioff in gated nwell vs always-on nwell s. Ioff_well_off Ioff f_well_on Node/Vth Ioff_ratio Figure 1 a) normal tap cell, b) always-o tap cell on (nA) (nA) 28HP/LVt 16.2 77.4 4.78 B. Method description 28HP/SVt 15.78 153.4 9.72 For a single domain power-gating design, the g 28HP/HVt 12.9 57.65 4.47 always-on tap cells are inserted at the intervals defined by the technology tapping rules. Then, the 40LP/LVt 0.169 1.06 6.27 n-well pins of the tap cells are routed to connect the 40LP/SVt 0.166 1.43 8.61 always-on VDD supply network in the design to get 40LP/HVt 0.143 1.05 7.33 constant power supply. Since n-wells of the tapless logic cells are overlapped with adjacen cells in each nt 65LP/LVt 0.082 0.443 5.40 row in the layout, forming continuing n-w wells in the cell 65LP/SVt 0.081 0.439 5.42 rows, the n-wells of the logic cells are biased by the 65LP/HVt 0.070 0.467 6.67 always-on VDD through the tap cells’ n- -wells. The main advantage of the metho is that it is od simple to implement, leveraging the e existing normal In power-gating designs with both always-on and tap insertion flow. It also results in highest silicon power gated power domains, th always-on tap cells he utilization efficiency compared with o other methods, are inserted in the power gated domains. For always- d due to no additional well spacing requ uirement in cell on domains, normal tap cells are use to avoid VDD a placement. Moreover, it does not impo constraints ose power routing needed for always-on taps. a An on PM cell placement which helps phys sical synthesis. example of the method implem mented in a two power However, the method incurs a leakage power penalty domain design is shown in Fi 2. The bottom left ig. in the shutdown mode because n-w wells of pMOS domain is always-on with norm tap cells inserted mal transistors are biased at VDD while the power supply e aligned to the rails. Always-on taps were implemented to the transistors is shutoff. This create a significant es in the rest of the design with tap n-well pins w bias from n-well to drain and gate of p pMOS resulting connected to the always-on VDD straps next to them. D in higher junction and gate leakag in pMOS.ge Consequently, the shutdown mode leakage of a III. TAP PM CELL BASED MET THOD design can increase up to 10 times depending on For leakage critical designs, the leakage penalty in technology nodes, Vth cell types and s size ratio of the the always-on tap cell based method might not meet m switch and logic cells. At smaller tech hnology nodes the leakage target. In that case, it is necessary to shut , this causes a higher leakage penalt due to the ty off the power supply to the n-w taps. However, PM well thinner tox and hence larger well lea akage. On the cells are active in shutdown mode so n-wells in the m other hand, lower Vth logic cells ha ave a smaller PM cells must remain being biased at VDD to be leakage penalty because the reductio of the sub- on functional. To address this issue, the tap PM cell threshold leakage from the reversed back biasing based method has been developed. becomes more effective and the re elatively large The method implements sp pecially designed PM leakage makes the n-well leakage co ontributing part cells containing built-in n-well taps that connect to relatively less effective. As we look to th dependency he their internal always-on power straps (Fig. 3) keeping s on the transistor size ratio of the sw witch and logic n-well biased in shutdown mode, This results in a cells, a smaller ratio results in larger le eakage penalty mixed tap and tapless design where PM cells are tap w due to lower shutdown voltage on the logic cells and cells and rest of the logic cells are tapless cells. In the a hence lower cell leakage and higher n-w leakage. It well shutdown mode, the power su upply to the tap cells is worth mentioning that the shutdown voltage on the inserted in the tapless design re egions is shut off which
  • 3. Figure 3. Tap PM cell (double row) Figure 2. Domain-based tap insertion e example region based method describe in the next section. ed PM cells can be freely placed in optimal positions as i in turn shuts off power to the n-well of l logic cells. The long as their always-on VDD pin can be routed to the ns n-well of the PM cells is biased through internal taps always-on power straps. Ho owever, the method to the always-on VDD, maintaining norm operation. mal requires custom PM cells and area wasted at left and a Since n-well of the PM cells is biased while right boundaries of the PM cell is significant lowering surrounding n-well in the tapless lo ogic cells are silicon utilization efficiency cons siderably since power- shutoff, the n-well of PM cells can no longer overlap gating designs often impleme tens of thousands ent n-well in surrounding tapless cells. Well spacing switches, always-on buffers and isolation cells. d between PM cells and tapless cells m must satisfy the hot-well spacing rule defined in the te echnology. It is IV. ALWAYS-ON REGION BAS SED METHOD worth noting that the n-well of a tapless cell is This method has been developed to avoid the extended beyond the cell boundary so n-wells of the leakage penalty of the first met thod and the design of tapless cells are overlapped forming a continuous n- custom tap PM cells in the se econd method. In this well. This tapless cell n-well extension w intrude into will case, PM cells are all tapless cells requiring tap cell the PM cell placed next to the tapless cell, and insertion to maintain n-well bias To address the need s. therefore must be considered in the ho ot-well spacing of separating n-wells of the PM cells from the n-wells M check. Consequently, PM cells need considerable d of the logic cells, placement reg gions, called always-on space at cell boundaries, consuming s silicon area. In regions, are created exclusively for PM cells. Each production designs, cells are common mirrored on nly always-on region has its own dedicated always-on adjacent rows and n-wells of cells in the mirrored rows e VDD rails separated from rails outside the region. The o overlap. This is leveraged in designin the tap PM ng n-well of the cells in the regio is connected to the on cells to occupy both mirrored rows to hide the n-wells always-on VDD through tap cells inserted in the of the PM cell from the top and bott tom of its cell region. These always-on region are placed cross the ns boundaries and hence eliminate needs of the hot-well chip based on the prediction of the needs and n spacing at top and bottom to improve a area efficiency. positions of the PM cell ls in the physical Fig. 3 shows an example PM cell. implementation. In the physic synthesis, the PM cal Only those PM cells that require pM MOS transistors cells are only allowed to be pla aced in the always-on to be active in shutdown mode need bu uilt-in well taps. regions. An illustration example is shown in Fig. 4. For those isolation-low cells which imp plement a pull- The always-on regions are shown in red. The top s down nMOS at the output, there is no need for the tap and bottom regions are for switc cells. The region on ch version cells, because pMOS transistors of the cell do the right is where the output iso olation cells are placed. not contribute to the isolation in the shhutdown mode The four regions in the middle of the block are created o and so isolation-low cells can be taplesss. to place always-on repeaters. The main advantage of the method is low leakage Advantages of the method are low leakage power a power in shutdown mode, since n-we of the logic ell in shutdown mode and no need to create the custom d cells are not biased. Impact on the physical tap PM cells. However, the method introduces e implementation is much smaller than the always-on e considerable physical implem mentation complexity.
  • 4. Moreover, the region creation and p placement are timing models of the tapless PM cells, as modification M highly design dependent and difficult to predict. It t has minimal impact on fun nctional layout. Area could often result in lower silicon utiliza ation efficiency overhead of the method is usua less than 5%. ally and negative impact on design timing an routability. nd The always-on region based method is much more complicated to implement and less predictable in its effect on the design. Moreove it often impacts the er, design timing and routability. The area overhead varies with the quality of the always-on region t planning and could be significant. However, the method does not introduce a leakage penalty, nor requires development of custom PM cells. m The choice of the method depends on the design d goals and priority in terms of design performance, f leakage power, schedule, and silicon area. If a development schedule and pe erformance are higher priorities than leakage power in shutdown mode, the n always-on tap based method is the choice. On the other hand, for battery operated designs where shutdown mode leakage is critic and area efficiency cal is less important, the tap PM cell based method is a c Figure 4. Always-on region based m method good choice. In the case where design resources are e not available to create the tap PM cells, the always-on P An always-on region can be created by either an region based method is an alter rnative method. exclusive region or a custom placeme site. In the ent former case, a special filler cell not containing n-well VI. SUMMARY is needed at region boundaries to se eparate the n- Three well tapping methods have been developed wells from outside. VDD rails in th region are he to address the challenges in the tapless power-gating e assigned to the always-on VDD and s separated from designs. The methods are described with a rails outside of the region. implementation details. Each method has advantages m In practice, always-on region plannin is done after ng and shortcomings which are discussed and initial physical implementation to scope how many PM compared. The proper choice of the method depends o cells are needed and where they should be placed. on design goals and priorities in terms of design Assuming PM cells can be clustered into regions, the performance, leakage power, development schedule, d always-on regions are created and pla aced based on and silicon area. The method have been applied ds size and position requirements. To ensu the regions ure successfully to production pow wer-gating designs to can hold the required PM cells, always- regions are -on meet different design goals and priorities. often created larger than actually needed. This reduces silicon utilization efficiency. REFERENCES [1] Kaushik Roy, Saibal Mukhopadhy yay, and Hamid Mahmoodi- Always-on regions also add placeme constraints ent meimand, “Leakage current mecha anism and leakage reduction and obstructions, impacting placemen and routing. nt techniques in deep-submicrometer CMOS circuits”, Proc. This could result in sub-optimal physical synthesis. IEEE Vol. 91, no. 2, Feb. 2003 [2] M. Anis, S. Areibi and M. Elmasry, “Design and optimization of , V. COMPARISON AND RECOMMEND DATION multi-threshold CMOS (MTCMOS) circuits”, IEEE Trans. CAD- ) ICS, 2003 Each method is appropriate for volu ume production [3] Benton H Calhoun, Frank A Honore and Anantha P designs and has advantages and shor rtcomings. The Chandrakasan, “A leakage re eduction methodology for always-on tap cell based method is simple to distributed MTCMOS”, IEEE J. Solid-State Circuits, vol. 39, S implement with little impact on timing, routability and no. 5, May, 2004, pp. 818-826 [4] Kaijian Shi and David Howard, “S Sleep Transistor Design and silicon utilization efficiency at the expen of leakage nse Implementation – Simple Concepts Yet Challenges To Be power. Optimum”, Proc.. IEEE VLSI-DAT, April, 2006 The tap PM cell method is easy to implement with [5] David Flynn, Michael Keating, Robert Aitken, Alan Gibbons little impact on timing and routability. I does require It and Kaijian Shi , “Low Power Methhodology Manual for System- on-Chip Design”, Springer, 2007 custom design of tap PM cells, though they can be [6] Kaijian Shi, Zhian Lin, Yi-min Jian Lin Yuan “Simultaneous ng, relatively easy to create by adding well taps and Sleep Transistor Insertion and Po ower Network Synthesis for extending cell boundaries of the taples version PM ss Industrial Power Gating Design ns”, Journal of Computer, cells that are already available. It is fea asible to reuse Academy Publisher Vol. 3 No.3 March, 2008 M