SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010

Implementation of Complex interface bridge for LOW and HIGH
      bandwidth Peripherals Using AXI4-Lite for AMBA
                               K.SHIVA KUMAR, P.DEEPTHI
                                            BSIT,JNTUH,Chevella
                                             SDGI,JNTUH,IBP



Abstract—The Advanced Microcontroller Bus                   •    Advanced Peripheral Bus (APB)
Architecture (AMBA) is a widely used                        •    Advanced Trace Bus (ATB)
interconnection standard for System on Chip
(SoC) design. In order to support high-speed                 AXI, the next generation of AMBA interface
pipelined data transfers, AMBA 4.0 supports a            defined in the AMBA 4.0 specification, is targeted at
rich set of bus signals, making the analysis of          high performance; high clock frequency system
AMBA-based embedded systems a challenging                designs and includes features which make it very
Proposition. The goal of this paper is to synthesize     suitable    for     high   speed     sub-micrometer
and simulate a complex interface bridge for              interconnections.
Advanced High performance Bus (AHB) as well as                 Separate address/control and data phases
Advanced Peripheral Bus (APB) to support for                   support for unaligned data transfers using
both high bandwidth and low bandwidth data                        byte strobes
transfer using a single AXI4.0 lite transaction. The           burst based transactions with only start
data transition distinction is made by N- bit                     address issued
comparator which is designed for switching over                issuing of multiple outstanding addresses
between APB and AHB. The Paper also involves                   easy addition of register stages to provide
the Back annotation for Synthesized Net list of                   timing closure
Bridge module and to perform Functional and
Timing Simulation using Xilinx.                          II.TOP VIEW
                                                         2.1Block Diagram
   Keywords-SoC; AMBA; AXI; APB; AHB                        In this study, we focused mainly on the
                                                         implementation aspect of an AXI4-Lite to APB
              I. INTRODUCTION                            bridge and also AHB bridge. It is required to bridge
          Integrated circuits has entered the era of     the communication gap between low bandwidth
System-on-a-Chip (SoC), which refers to integrating      peripherals on APB with the high bandwidth ARM
all components of a computer or other electronic         Processors and/or other     high-speed devices on
system into a single chip. It may contain digital,       AHB.                        This                    is
analog, mixed-signal, and often radio -frequency         to ensure that there is no data loss between AXI4.0 to
functions – all on a single chip substrate. With the     AHB and AXI4.0 to APB or wise versa.
increasing design size, IP is an inevitable choice for
SoC design. And the widespread use of all kinds of
IPs has changed the nature of the design flow,
making On-Chip Buses (OCB) essential to the
design. Of all OCBs existing in the market, the
AMBA bus system is widely used as the de facto
standard SoC bus.
        On March 8, 2010, ARM announced
availability of the AMBA 4.0 specifications. As the
de facto standard SoC bus, AMBA bus is widely used
in the high-performance SoC designs. The AMBA
specification defines an on-chip communication
standard for designing high-performance embedded
microcontrollers. The AMBA 4.0 specification
defines five buses/interfaces [1]:

   •   Advanced extensible Interface (AXI)
   •   Advanced High-performance Bus (AHB)                       FIGURE 1. BLOCK DIAGRAM
   •   Advanced System Bus (ASB)
                                                         The APB bridge provides an interface between the

                                                                                              1005 | P a g e
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010
high-performance AXI domain and the low-power             sample the VALID/REA DY again at T4, there
APB domain. It appears as a slave on AXI bus but as       should be another transfer which is an error.
a master on APB that can access up to sixteen slave       Therefore source and destination should use
peripherals. Read and write transfers on the AXI bus      combinational circuit as output. In short, AXI
are converted into corresponding transfers on the         protocol is suitable register input and combinational
APB. The AHB bridge provides an interface between         output circuit.
the high-performance AXI domain and the low-power             The APB bridge buffers address, control and data
AHB domain. It is very easy to study this paper as        from AXI4-Lite, drives the APB peripherals and
two bridges separately and the results combined with      returns data and response signal to the AXI4-Lite. It
the n bit comparator will give clear picture of the       decodes the address using an internal address map to
paper. First the main diagram is shown as above.          select the peripheral. The bridge is designed to
Then the two bridges will be studied separately.          operate when the APB and AXI4-Lite have
                                                          independent clock frequency and phase. For every
2.2. Block Diagram of axi- 4lite to APB bridge            AXI channel, invalid commands are not forwarded
 The APB bridge provides an interface between the         and an error response generated. That is once an
high-performance AXI domain and the low-power             peripheral accessed does not exist, the APB bridge
APB domain. It appears as a slave on AXI bus but as       will generate DE CERR as response through the
a master on APB that can access up to sixteen slave       response channel (read or write). And if the target
peripherals. Read and write transfers on the AXI bus      peripheral exists, but asserts PSLVERR, it will give a
are converted into corresponding transfers on the         SLVERR response.
APB. The AXI4-Lite to APB bridge block diagram is
shown in Figure. 2




  FIGURE 2. Block diagram of axi4- lite to APB
                   Bridge

A. AXI Handshake Mechanism
    In AXI 4.0 specification, each channel has a
VALID and READY signal for handshaking [2]. The
source asserts VALID when the control information
or data is available. The destination asserts READY
when it can accept the control information or data.
                                                                   Figure 3. Handshake mechanism
Transfer occurs only when both the VALID and
READY are asserted. Figure. 3 show all possible
                                                          2.3. Block Diagram of axi- 4lite to AHB Bridge
cases of VALID/READ handshaking. Note that when           AHB-Lite Master Interface:
source asserts VALID, the corresponding control           • Supports incrementing burst transfers of length 4, 8,
information or data must also be available at the same    16, and undefined burst length
time. The arrows in Figure. 3 indicate when the
                                                          • AHB-Lite master does not issue incrementing burst
transfer occurs. A transfer takes place at the positive   transfers that cross 1 kB address boundaries
edge of clock. Therefore, the source needs a register     • Supports limited protection control
input to sample the READY signal. In the same way,        • Supports narrow transfers (8/16-bit transfers on a
the destination needs a register input to sample the
                                                          32-bit data bus and 8/16/32-bit transfers on a 64-bit
VALID signal. Considering the situation of Figure.        data
3(c), we assume the source and destination use output     bus)
registers instead of combination circuit, they need one          The AXI to AHB-Lite Bridge translates AXI4
cycle to pull low VALID/READY and sample the
                                                          transactions into AHB-Lite transactions. The bridge
VALID/RE ADY again at T4 cycle. When they


                                                                                                1006 | P a g e
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010
functions as a slave on the AXI4 interface and as a      C_M_AHB_DATA_WIDTH.
master on the AHB-Lite interface.                        C_M_AHB_DATA_WIDTH cannot be set by the
                                                         user,       because      it    is    updated
                                                         automatically with C_S_AXI_DATA_WIDTH.

                                                         AHB State Machine
                                                                AHB state machine is part of the AHB-Lite
                                                         Master interface module.When AXI4 initiates the
                                                         write access, the AHB state machine module receives
                                                         the control signals and data from AXI4 slave
                                                         interface, then transfers the same to the equivalent
                                                         AHB-Lite write access. This module also transfers
                                                         the AHB-Lite write response to the AXI4 slave
                                                         interface.
                                                         When AXI4 initiates the read access, the AHB state
                                                         machine module receives the control signals from
                                                         AXI4 slave
                                                         interface, then transfers the same to the equivalent
                                                         AHB-Lite read access. This module also transfers
                                                         AHB-Lite read
                                                         data and read response to the AXI4 slave interface.

                                                         Time out Module
                                                                The time out module generates the time out
                                                         when the AHB-Lite slave is not responding to the
AXI4 Slave Interface                                     AHB transaction.
          The AXI4 Slave Interface module provides a     This is parameterized and generates the time out only
bi-directional slave interface to the AXI. The AXI       when C_DPHASE_TIMEOUT value is nonzero.The
address width is fixed at 32 bits. AXI data bus width    time out module waits for the duration of the
can be either 32 or 64 bit based on the parameter        C_DPHASE_TIMEOUT number of AXI clocks for
C_S_AXI_DATA_WIDTH. AXI to AHB-Lite                      AHB-Lite slave response, then generates the time out
Bridge supports the same data width on both AXI4         if the AHB slave is not responding.
and AHB-Lite interfaces. When both write and read
transfers are simultaneously requested on AXI4, the      2.4. Migration from AXI To AHB
read request is given a higher priority than the write           With modern Systems on Chip including
request.                                                 multi-core clusters, additional DSP, graphics
                                                         controllers and other sophisticated peripherals, the
AXI Write State Machine                                  system fabric poses a critical performance bottleneck.
       AXI write state machine is part of the AXI4       The AHB protocol, even in its multi-layer
slave interface module and functions on AXI4 write       configuration cannot keep up with the demands of
channels. This module controls AXI4 write accesses       today's SoC. The reasons for this include:
and generates the write response to AXI. If bridge       1. AHB is transfer-oriented. With each transfer, an
time out occurs, this module completes the AXI write     address will be submitted and a single data item will
transaction with SLVERR response.                        be written to or read from the selected slave. All
                                                         transfers will be initiated by the master. If the slave
AXI Read State Machine                                   cannot respond immediately to a transfer request the
     AXI read state machine is part of the AXI4 slave    master will be stalled. Each master can have only one
interface module and functions on AXI4 read              outstanding transaction.
channels. This module controls the AXI4 read             2. Sequential accesses (bursts) consist of consecutive
accesses and generates the read response to AXI. If      transfers which indicate their relationship by
bridge time out occurs, this module completes the        asserting HTRANS/HBURST accordingly.
AXI read transaction with SLVERR response.               3. Although AHB systems are multiplexed and thus
                                                         have independent read and write data buses, they
AHB-Lite Master Interface                                cannot operate in full-duplex mode.
    The AHB-Lite master interface module provides                   An AXI interface consists of up to five
the AHB-Lite master interface on the AHB-Lite. The       channels which can operate largely independently of
AHB-Lite                                                 each other. Each channel uses the same trivial
address width is fixed at 32 bit and data bus width      handshaking between source and destination (master
can be either 32 or 64 bit, based on the parameter       or slave, depending on channel direction), which


                                                                                               1007 | P a g e
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010
simplifies the interface design. Unlike AHB concept          a different routing structure depending on the
is not an afterthought but is the central focus of the       expected data volume on that channel. Given a
protocol design. In AXI3 all transactions are bursts of      situation where the majority of transactions will
lengths between 1 and 16. The addition of byte               transfer more than one data item, data channels
enable signals for the data bus supports unaligned           should be routed via crossbar so that different streams
memory accesses and store merging.                           can be processed at the same time. Address and
          The communication between master and               response channels experience rather lower traffic and
slave is transaction-oriented, where each transaction        could perhaps be multiplexed. Some experts consider
consists of address, data, and response transfers on         it an advantage to provide AXI only at the interface
their corresponding channels. Apart from rather              level, while a special packetized routing protocol is
liberal ordering rules there is no strict protocol-          used inside the fabric, a so called Network-on-Chip.
enforced timing relation between individual phases of                   The AHB is a single-channel, shared bus.
a transaction. Instead every transfer identifies itself as   The AXI is a multi-channel, read/write optimized
part of a specific transaction by its transaction ID tag.    bus. Each bus master, or requesting bus port,
Transactions may complete out-of-order and transfers         connects to the single-channel shared bus in the
belonging to different transactions may be                   AHB, while each AXI bus master connects to a Read
interleaved. Thanks to the ID that every transfer            address channel, Read data channel, Write address
carries, out-of-order transactions can be sorted out at      channel, Write data channel, and Write response
the destination.                                             channel. The primary throughput channels for the
                                                             AXI are the Read/Write data channels, while the
                                                             address, response channels are to improve pipelining
                                                             of multiple requests. Assume there are four masters
                                                             on each bus going to three slaves. The four master
                                                             ports might include microprocessor, Direct Memory
                                                             Access (DMA), DSP, USB. The three slaves might
                                                             include on-chip RAM, off-chip SDRAM, and an APB
                                                             bus bridge.
          Figure 6.AXI channel handshake                          To approximate the bandwidth of the two
                                                             busses, one must count the number of read/write
                                                             channels of the AXI Bus – six for three bus slaves.
                                                             This suggests that the AHB Bus should support some
                                                             multiple of bus width and/or speed to match the data
                                                             throughput. The System Model can vary these
                                                             combinations with simple parameter changes,
                                                             however, the AHB bus speed was assumed to be
                                                             double the AXI Bus, and two times the width. This
                                                             will make the comparison of the two busses more
                                                             realistic.
                                                                    To evaluate the efficiency of both busses,
                                                             different burst sizes were selected; small, medium,
                                                             and large. Small equates to the width of the AHB
                                                             Bus, medium equates to two AHB Bus transfers, and
                                                             large equates to four AHB bus transfers.
                                                                      If the AXI is a 64 bit bus running at 200
                                                             MHz, then the AHB will be a 128 bit bus running at
                                                             400 MHz. The burst sizes will be: small (16 Bytes),
                                                             medium (32 Bytes), and large (64 Bytes).

                                                             III. SIMULATION & IMPLEMENTATION
              Figure 7. AXI write burst                                The timing diagrams shown in Figure.8& 9
                                                             illustrate the AXI4-Lite to APB bridge operation and
         This flexibility requires all components in an      AXI4-Lite to AHB bridge operation for various read
AXI system to agree on certain parameters, such as           and write transfers. It shows that when both read and
write acceptance capability, read data reordering            write requests are active, read is given more priority.
depth and many others. Due to the vast number of
signals that make up a read/write AXI connection,
routing a large AXI fabric could be thought of as
rather challenging. However, the independent
channels in an AXI fabric make it possible to choose

                                                                                                   1008 | P a g e
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010




  Figure 8.APB typical read and write transfer




  Figure 9.AHB typical read and write transfer

After synthesis and STA, the summary reports
gererated by the EDA tools (Synopsys Design
CompilerTM and Synopsys
Prime TimeTM) are shown in fallowing Figure.




                                                 V.CONCLUSION
                                                          In this study, we provide an implementation
                                                 of AXI4-Lite
                                                 to APB bridge and AXI4-Lite to AHB bridge which
                                                 has the following features:
                                                       32 AXI slave and APB & AHB
                                                               -bit
                                                           master interfaces.
                                                       PCLK clock domain completely
                                                           independent of ACLK clock domain.
                                                       Support up to 16 APB & AHB peripherals.


                                                                                      1009 | P a g e
K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications
                    (IJERA)        ISSN: 2248-9622     www.ijera.com
                  Vol. 3, Issue 1, January -February 2013, pp.1005-1010

         support the PREADY signal which
          translate to wait
           States on AXI.
         an error on anytransfer results in
          SLVERR as the AXI read/write response.

                VI.REFERENCES
[1]  ARM, "AMBA Protocol Specification 4.0",
     www.arm.com, 2010.
[2] Ying-Ze       Liao,   "System     Design     and
     Implementation of AXI Bus",National Chiao
     Tung University, October 2007.
[3] Clifford E. Cummings, "Coding And Scripting
     Techniques For FSMDesigns With Synthesis-
     Optimized, Glitch-Free Outputs," SNUG
     (Synopsys Users Group Boston, MA 2000)
     Proceedings, September2000.
[4] Clifford E. Cummings, “Synthesis and Scripting
     Techniques forDesigning Multi-Asynchronous
     Clock Designs,” SNUG 2001
[5] Chris Spear, "SystemVerilog for Verification,
     2nd                                    Edition",
     Springer,www.springeronline.com, 2008.
[6] Lahir, K., Raghunathan A., Lakshminarayana
     G., “LOTTERYBUS: anew high-performance
     communication architecture for system-on-chip
     deisgns,” in Proceedings of Design Automation
     Conference, 2001.
[7] Sanghun Lee, Chanho Lee, Hyuk-Jae Lee, “A
     new multi-channel onchip-bus architecture for
     system-on-chips,” in Proceedings of IEEE
     international SOC Conference, September 2004.
[8] Martino Ruggiero,         Rederico Angiolini,
     Francesco Poletti, DavideBertozzi, Luca 86
[9] Benini, Roberto Zafalon, “Scalability Analysis
     of Evolving SoCInterconnect Protocols,” Int.
     Symposium on System-on-Chip, 2004.
     Lukai Cai, Daniel Gajski, “Transaction level
     modeling: an overview,” inProceedings of the
     1st IEEE/ACM/IFIP international conference on
     Hardware/software codesign and system
     synthesis, October 2003.
[10] Min-Chi Tsai, “Smart Memory Controller
     Design for VideoApplications,” Master thesis:
     National Chiao Tung University, July 2




                                                                              1010 | P a g e

Contenu connexe

Tendances

APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0Azad Mishra
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015kiemnhatminh
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationeSAT Publishing House
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801James Chang
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...eSAT Journals
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0ijsrd.com
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocoliaemedu
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET Journal
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 

Tendances (20)

APB protocol v1.0
APB protocol v1.0APB protocol v1.0
APB protocol v1.0
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
Design and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integrationDesign and verification environment for amba axi protocol for soc integration
Design and verification environment for amba axi protocol for soc integration
 
Amba bus
Amba busAmba bus
Amba bus
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 

En vedette

Portafolio digital una aproximidad a nuestras raices ancestrales
Portafolio digital una  aproximidad a nuestras raices ancestralesPortafolio digital una  aproximidad a nuestras raices ancestrales
Portafolio digital una aproximidad a nuestras raices ancestralesyolimaortizburgos
 
Projinclusdigitfina lx
Projinclusdigitfina lxProjinclusdigitfina lx
Projinclusdigitfina lxFabianaKuster
 
Novedades de la versión 7.5 de TopSolid'Cam
Novedades de la versión 7.5 de TopSolid'CamNovedades de la versión 7.5 de TopSolid'Cam
Novedades de la versión 7.5 de TopSolid'Camtecnocim
 
Ambiente homologprod201002 1
Ambiente homologprod201002 1Ambiente homologprod201002 1
Ambiente homologprod201002 1Marcos Luis Mori
 
Héroes de la mitología grecorromana
Héroes de la mitología grecorromanaHéroes de la mitología grecorromana
Héroes de la mitología grecorromanaEva Vi
 
Presentación lisboa ana
Presentación lisboa anaPresentación lisboa ana
Presentación lisboa anaconchaana
 
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...Celso Crivelaro
 
Novo documento do microsoft office word
Novo documento do microsoft office wordNovo documento do microsoft office word
Novo documento do microsoft office wordSofia Figueiredo
 

En vedette (20)

Dq31784792
Dq31784792Dq31784792
Dq31784792
 
Eg31880886
Eg31880886Eg31880886
Eg31880886
 
Dl31758761
Dl31758761Dl31758761
Dl31758761
 
Du31816820
Du31816820Du31816820
Du31816820
 
Es31954961
Es31954961Es31954961
Es31954961
 
Ec31858863
Ec31858863Ec31858863
Ec31858863
 
Dreamweaver
DreamweaverDreamweaver
Dreamweaver
 
Portafolio digital una aproximidad a nuestras raices ancestrales
Portafolio digital una  aproximidad a nuestras raices ancestralesPortafolio digital una  aproximidad a nuestras raices ancestrales
Portafolio digital una aproximidad a nuestras raices ancestrales
 
Power point camara de lobos
Power point camara de lobosPower point camara de lobos
Power point camara de lobos
 
Predições
PrediçõesPredições
Predições
 
Projinclusdigitfina lx
Projinclusdigitfina lxProjinclusdigitfina lx
Projinclusdigitfina lx
 
Novedades de la versión 7.5 de TopSolid'Cam
Novedades de la versión 7.5 de TopSolid'CamNovedades de la versión 7.5 de TopSolid'Cam
Novedades de la versión 7.5 de TopSolid'Cam
 
Ambiente homologprod201002 1
Ambiente homologprod201002 1Ambiente homologprod201002 1
Ambiente homologprod201002 1
 
Héroes de la mitología grecorromana
Héroes de la mitología grecorromanaHéroes de la mitología grecorromana
Héroes de la mitología grecorromana
 
Orden 21 de septiembre de 2001
Orden 21 de septiembre de 2001Orden 21 de septiembre de 2001
Orden 21 de septiembre de 2001
 
Presentación lisboa ana
Presentación lisboa anaPresentación lisboa ana
Presentación lisboa ana
 
CP DR3
CP DR3CP DR3
CP DR3
 
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...
WTA2012 - Proposta de Modelo Adaptativo para Geração de Contextos na Recome...
 
Novo documento do microsoft office word
Novo documento do microsoft office wordNovo documento do microsoft office word
Novo documento do microsoft office word
 
Aborto 2
Aborto 2Aborto 2
Aborto 2
 

Similaire à Ey3110051010

Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systemsidescitation
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd Iaetsd
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVLSICS Design
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVLSICS Design
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET Journal
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeManu BN
 
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyA Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyTodd Turner
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolIJERA Editor
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogIRJET Journal
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET Journal
 
Designing PCI/AHB Bridge
Designing PCI/AHB BridgeDesigning PCI/AHB Bridge
Designing PCI/AHB Bridgeijsrd.com
 
System on chip buses
System on chip busesSystem on chip buses
System on chip busesA B Shinde
 
Design of open core protocol ocp
Design of open core protocol ocpDesign of open core protocol ocp
Design of open core protocol ocpZubed Uddin
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master busVLSICS Design
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxGuckChick
 

Similaire à Ey3110051010 (20)

Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
 
Iaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifoIaetsd asynchronous data transactions on so c using fifo
Iaetsd asynchronous data transactions on so c using fifo
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...call for papers, research paper publishing, where to publish research paper, ...
call for papers, research paper publishing, where to publish research paper, ...
 
40120130406005
4012013040600540120130406005
40120130406005
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVMVERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
VERIFICATION OF DRIVER LOGIC USING AMBAAXI UVM
 
Gc2411021106
Gc2411021106Gc2411021106
Gc2411021106
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM MethodologyA Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
A Review On AMBA AHB Lite Protocol And Verification Using UVM Methodology
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB Protocol
 
Ek31903907
Ek31903907Ek31903907
Ek31903907
 
Transaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in VerilogTransaction based AMBA AXI bus interconnect in Verilog
Transaction based AMBA AXI bus interconnect in Verilog
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
 
Designing PCI/AHB Bridge
Designing PCI/AHB BridgeDesigning PCI/AHB Bridge
Designing PCI/AHB Bridge
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
 
Design of open core protocol ocp
Design of open core protocol ocpDesign of open core protocol ocp
Design of open core protocol ocp
 
Asic implementation of i2 c master bus
Asic implementation of i2 c master busAsic implementation of i2 c master bus
Asic implementation of i2 c master bus
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 

Dernier

Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionDilum Bandara
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .Alan Dix
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024Lonnie McRorey
 

Dernier (20)

E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Advanced Computer Architecture – An Introduction
Advanced Computer Architecture – An IntroductionAdvanced Computer Architecture – An Introduction
Advanced Computer Architecture – An Introduction
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .From Family Reminiscence to Scholarly Archive .
From Family Reminiscence to Scholarly Archive .
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024TeamStation AI System Report LATAM IT Salaries 2024
TeamStation AI System Report LATAM IT Salaries 2024
 

Ey3110051010

  • 1. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010 Implementation of Complex interface bridge for LOW and HIGH bandwidth Peripherals Using AXI4-Lite for AMBA K.SHIVA KUMAR, P.DEEPTHI BSIT,JNTUH,Chevella SDGI,JNTUH,IBP Abstract—The Advanced Microcontroller Bus • Advanced Peripheral Bus (APB) Architecture (AMBA) is a widely used • Advanced Trace Bus (ATB) interconnection standard for System on Chip (SoC) design. In order to support high-speed AXI, the next generation of AMBA interface pipelined data transfers, AMBA 4.0 supports a defined in the AMBA 4.0 specification, is targeted at rich set of bus signals, making the analysis of high performance; high clock frequency system AMBA-based embedded systems a challenging designs and includes features which make it very Proposition. The goal of this paper is to synthesize suitable for high speed sub-micrometer and simulate a complex interface bridge for interconnections. Advanced High performance Bus (AHB) as well as  Separate address/control and data phases Advanced Peripheral Bus (APB) to support for  support for unaligned data transfers using both high bandwidth and low bandwidth data byte strobes transfer using a single AXI4.0 lite transaction. The  burst based transactions with only start data transition distinction is made by N- bit address issued comparator which is designed for switching over  issuing of multiple outstanding addresses between APB and AHB. The Paper also involves  easy addition of register stages to provide the Back annotation for Synthesized Net list of timing closure Bridge module and to perform Functional and Timing Simulation using Xilinx. II.TOP VIEW 2.1Block Diagram Keywords-SoC; AMBA; AXI; APB; AHB In this study, we focused mainly on the implementation aspect of an AXI4-Lite to APB I. INTRODUCTION bridge and also AHB bridge. It is required to bridge Integrated circuits has entered the era of the communication gap between low bandwidth System-on-a-Chip (SoC), which refers to integrating peripherals on APB with the high bandwidth ARM all components of a computer or other electronic Processors and/or other high-speed devices on system into a single chip. It may contain digital, AHB. This is analog, mixed-signal, and often radio -frequency to ensure that there is no data loss between AXI4.0 to functions – all on a single chip substrate. With the AHB and AXI4.0 to APB or wise versa. increasing design size, IP is an inevitable choice for SoC design. And the widespread use of all kinds of IPs has changed the nature of the design flow, making On-Chip Buses (OCB) essential to the design. Of all OCBs existing in the market, the AMBA bus system is widely used as the de facto standard SoC bus. On March 8, 2010, ARM announced availability of the AMBA 4.0 specifications. As the de facto standard SoC bus, AMBA bus is widely used in the high-performance SoC designs. The AMBA specification defines an on-chip communication standard for designing high-performance embedded microcontrollers. The AMBA 4.0 specification defines five buses/interfaces [1]: • Advanced extensible Interface (AXI) • Advanced High-performance Bus (AHB) FIGURE 1. BLOCK DIAGRAM • Advanced System Bus (ASB) The APB bridge provides an interface between the 1005 | P a g e
  • 2. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010 high-performance AXI domain and the low-power sample the VALID/REA DY again at T4, there APB domain. It appears as a slave on AXI bus but as should be another transfer which is an error. a master on APB that can access up to sixteen slave Therefore source and destination should use peripherals. Read and write transfers on the AXI bus combinational circuit as output. In short, AXI are converted into corresponding transfers on the protocol is suitable register input and combinational APB. The AHB bridge provides an interface between output circuit. the high-performance AXI domain and the low-power The APB bridge buffers address, control and data AHB domain. It is very easy to study this paper as from AXI4-Lite, drives the APB peripherals and two bridges separately and the results combined with returns data and response signal to the AXI4-Lite. It the n bit comparator will give clear picture of the decodes the address using an internal address map to paper. First the main diagram is shown as above. select the peripheral. The bridge is designed to Then the two bridges will be studied separately. operate when the APB and AXI4-Lite have independent clock frequency and phase. For every 2.2. Block Diagram of axi- 4lite to APB bridge AXI channel, invalid commands are not forwarded The APB bridge provides an interface between the and an error response generated. That is once an high-performance AXI domain and the low-power peripheral accessed does not exist, the APB bridge APB domain. It appears as a slave on AXI bus but as will generate DE CERR as response through the a master on APB that can access up to sixteen slave response channel (read or write). And if the target peripherals. Read and write transfers on the AXI bus peripheral exists, but asserts PSLVERR, it will give a are converted into corresponding transfers on the SLVERR response. APB. The AXI4-Lite to APB bridge block diagram is shown in Figure. 2 FIGURE 2. Block diagram of axi4- lite to APB Bridge A. AXI Handshake Mechanism In AXI 4.0 specification, each channel has a VALID and READY signal for handshaking [2]. The source asserts VALID when the control information or data is available. The destination asserts READY when it can accept the control information or data. Figure 3. Handshake mechanism Transfer occurs only when both the VALID and READY are asserted. Figure. 3 show all possible 2.3. Block Diagram of axi- 4lite to AHB Bridge cases of VALID/READ handshaking. Note that when AHB-Lite Master Interface: source asserts VALID, the corresponding control • Supports incrementing burst transfers of length 4, 8, information or data must also be available at the same 16, and undefined burst length time. The arrows in Figure. 3 indicate when the • AHB-Lite master does not issue incrementing burst transfer occurs. A transfer takes place at the positive transfers that cross 1 kB address boundaries edge of clock. Therefore, the source needs a register • Supports limited protection control input to sample the READY signal. In the same way, • Supports narrow transfers (8/16-bit transfers on a the destination needs a register input to sample the 32-bit data bus and 8/16/32-bit transfers on a 64-bit VALID signal. Considering the situation of Figure. data 3(c), we assume the source and destination use output bus) registers instead of combination circuit, they need one The AXI to AHB-Lite Bridge translates AXI4 cycle to pull low VALID/READY and sample the transactions into AHB-Lite transactions. The bridge VALID/RE ADY again at T4 cycle. When they 1006 | P a g e
  • 3. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010 functions as a slave on the AXI4 interface and as a C_M_AHB_DATA_WIDTH. master on the AHB-Lite interface. C_M_AHB_DATA_WIDTH cannot be set by the user, because it is updated automatically with C_S_AXI_DATA_WIDTH. AHB State Machine AHB state machine is part of the AHB-Lite Master interface module.When AXI4 initiates the write access, the AHB state machine module receives the control signals and data from AXI4 slave interface, then transfers the same to the equivalent AHB-Lite write access. This module also transfers the AHB-Lite write response to the AXI4 slave interface. When AXI4 initiates the read access, the AHB state machine module receives the control signals from AXI4 slave interface, then transfers the same to the equivalent AHB-Lite read access. This module also transfers AHB-Lite read data and read response to the AXI4 slave interface. Time out Module The time out module generates the time out when the AHB-Lite slave is not responding to the AXI4 Slave Interface AHB transaction. The AXI4 Slave Interface module provides a This is parameterized and generates the time out only bi-directional slave interface to the AXI. The AXI when C_DPHASE_TIMEOUT value is nonzero.The address width is fixed at 32 bits. AXI data bus width time out module waits for the duration of the can be either 32 or 64 bit based on the parameter C_DPHASE_TIMEOUT number of AXI clocks for C_S_AXI_DATA_WIDTH. AXI to AHB-Lite AHB-Lite slave response, then generates the time out Bridge supports the same data width on both AXI4 if the AHB slave is not responding. and AHB-Lite interfaces. When both write and read transfers are simultaneously requested on AXI4, the 2.4. Migration from AXI To AHB read request is given a higher priority than the write With modern Systems on Chip including request. multi-core clusters, additional DSP, graphics controllers and other sophisticated peripherals, the AXI Write State Machine system fabric poses a critical performance bottleneck. AXI write state machine is part of the AXI4 The AHB protocol, even in its multi-layer slave interface module and functions on AXI4 write configuration cannot keep up with the demands of channels. This module controls AXI4 write accesses today's SoC. The reasons for this include: and generates the write response to AXI. If bridge 1. AHB is transfer-oriented. With each transfer, an time out occurs, this module completes the AXI write address will be submitted and a single data item will transaction with SLVERR response. be written to or read from the selected slave. All transfers will be initiated by the master. If the slave AXI Read State Machine cannot respond immediately to a transfer request the AXI read state machine is part of the AXI4 slave master will be stalled. Each master can have only one interface module and functions on AXI4 read outstanding transaction. channels. This module controls the AXI4 read 2. Sequential accesses (bursts) consist of consecutive accesses and generates the read response to AXI. If transfers which indicate their relationship by bridge time out occurs, this module completes the asserting HTRANS/HBURST accordingly. AXI read transaction with SLVERR response. 3. Although AHB systems are multiplexed and thus have independent read and write data buses, they AHB-Lite Master Interface cannot operate in full-duplex mode. The AHB-Lite master interface module provides An AXI interface consists of up to five the AHB-Lite master interface on the AHB-Lite. The channels which can operate largely independently of AHB-Lite each other. Each channel uses the same trivial address width is fixed at 32 bit and data bus width handshaking between source and destination (master can be either 32 or 64 bit, based on the parameter or slave, depending on channel direction), which 1007 | P a g e
  • 4. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010 simplifies the interface design. Unlike AHB concept a different routing structure depending on the is not an afterthought but is the central focus of the expected data volume on that channel. Given a protocol design. In AXI3 all transactions are bursts of situation where the majority of transactions will lengths between 1 and 16. The addition of byte transfer more than one data item, data channels enable signals for the data bus supports unaligned should be routed via crossbar so that different streams memory accesses and store merging. can be processed at the same time. Address and The communication between master and response channels experience rather lower traffic and slave is transaction-oriented, where each transaction could perhaps be multiplexed. Some experts consider consists of address, data, and response transfers on it an advantage to provide AXI only at the interface their corresponding channels. Apart from rather level, while a special packetized routing protocol is liberal ordering rules there is no strict protocol- used inside the fabric, a so called Network-on-Chip. enforced timing relation between individual phases of The AHB is a single-channel, shared bus. a transaction. Instead every transfer identifies itself as The AXI is a multi-channel, read/write optimized part of a specific transaction by its transaction ID tag. bus. Each bus master, or requesting bus port, Transactions may complete out-of-order and transfers connects to the single-channel shared bus in the belonging to different transactions may be AHB, while each AXI bus master connects to a Read interleaved. Thanks to the ID that every transfer address channel, Read data channel, Write address carries, out-of-order transactions can be sorted out at channel, Write data channel, and Write response the destination. channel. The primary throughput channels for the AXI are the Read/Write data channels, while the address, response channels are to improve pipelining of multiple requests. Assume there are four masters on each bus going to three slaves. The four master ports might include microprocessor, Direct Memory Access (DMA), DSP, USB. The three slaves might include on-chip RAM, off-chip SDRAM, and an APB bus bridge. Figure 6.AXI channel handshake To approximate the bandwidth of the two busses, one must count the number of read/write channels of the AXI Bus – six for three bus slaves. This suggests that the AHB Bus should support some multiple of bus width and/or speed to match the data throughput. The System Model can vary these combinations with simple parameter changes, however, the AHB bus speed was assumed to be double the AXI Bus, and two times the width. This will make the comparison of the two busses more realistic. To evaluate the efficiency of both busses, different burst sizes were selected; small, medium, and large. Small equates to the width of the AHB Bus, medium equates to two AHB Bus transfers, and large equates to four AHB bus transfers. If the AXI is a 64 bit bus running at 200 MHz, then the AHB will be a 128 bit bus running at 400 MHz. The burst sizes will be: small (16 Bytes), medium (32 Bytes), and large (64 Bytes). III. SIMULATION & IMPLEMENTATION Figure 7. AXI write burst The timing diagrams shown in Figure.8& 9 illustrate the AXI4-Lite to APB bridge operation and This flexibility requires all components in an AXI4-Lite to AHB bridge operation for various read AXI system to agree on certain parameters, such as and write transfers. It shows that when both read and write acceptance capability, read data reordering write requests are active, read is given more priority. depth and many others. Due to the vast number of signals that make up a read/write AXI connection, routing a large AXI fabric could be thought of as rather challenging. However, the independent channels in an AXI fabric make it possible to choose 1008 | P a g e
  • 5. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010 Figure 8.APB typical read and write transfer Figure 9.AHB typical read and write transfer After synthesis and STA, the summary reports gererated by the EDA tools (Synopsys Design CompilerTM and Synopsys Prime TimeTM) are shown in fallowing Figure. V.CONCLUSION In this study, we provide an implementation of AXI4-Lite to APB bridge and AXI4-Lite to AHB bridge which has the following features:  32 AXI slave and APB & AHB -bit master interfaces.  PCLK clock domain completely independent of ACLK clock domain.  Support up to 16 APB & AHB peripherals. 1009 | P a g e
  • 6. K.Shiva Kumar, P.Deepthi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 1, January -February 2013, pp.1005-1010  support the PREADY signal which translate to wait States on AXI.  an error on anytransfer results in SLVERR as the AXI read/write response. VI.REFERENCES [1] ARM, "AMBA Protocol Specification 4.0", www.arm.com, 2010. [2] Ying-Ze Liao, "System Design and Implementation of AXI Bus",National Chiao Tung University, October 2007. [3] Clifford E. Cummings, "Coding And Scripting Techniques For FSMDesigns With Synthesis- Optimized, Glitch-Free Outputs," SNUG (Synopsys Users Group Boston, MA 2000) Proceedings, September2000. [4] Clifford E. Cummings, “Synthesis and Scripting Techniques forDesigning Multi-Asynchronous Clock Designs,” SNUG 2001 [5] Chris Spear, "SystemVerilog for Verification, 2nd Edition", Springer,www.springeronline.com, 2008. [6] Lahir, K., Raghunathan A., Lakshminarayana G., “LOTTERYBUS: anew high-performance communication architecture for system-on-chip deisgns,” in Proceedings of Design Automation Conference, 2001. [7] Sanghun Lee, Chanho Lee, Hyuk-Jae Lee, “A new multi-channel onchip-bus architecture for system-on-chips,” in Proceedings of IEEE international SOC Conference, September 2004. [8] Martino Ruggiero, Rederico Angiolini, Francesco Poletti, DavideBertozzi, Luca 86 [9] Benini, Roberto Zafalon, “Scalability Analysis of Evolving SoCInterconnect Protocols,” Int. Symposium on System-on-Chip, 2004. Lukai Cai, Daniel Gajski, “Transaction level modeling: an overview,” inProceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, October 2003. [10] Min-Chi Tsai, “Smart Memory Controller Design for VideoApplications,” Master thesis: National Chiao Tung University, July 2 1010 | P a g e