SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
            Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1654-1659
  High Speed Test Architecture for SRAM using Modified March
                           Algorithm
            D. Viswabharathi*, K. Raghuram**, G. Rajesh Kumar***
          *(Department ofElectronics & Communications Engineering, Pragati Engineering College)
         ** (Department ofElectronics & Communications Engineering, Pragati Engineering College)
       *** (Department ofElectronics & Communications Engineering, Vishnu Institute of Technology)


ABSTRACT
          Fault diagnosis and location in                BIST techniques have been developed [7], and
semiconductor Random Access Memories (RAM)               recently some microcoded memory BIST circuits
are of prime importance in connection with the           were implemented for embedded Memories [8]. In
increasing density and dominating portion of             general microcoded memory BIST techniques have
embedded memories in system-on-chips (SOC).              great exibility in applying di_erent combinations of
Manufacturing defects should be detected,                test patterns for static and dynamic defects. Memory
diagnosed and located for further repair in order        retention faults, as well as conventional static faults,
to improve the product quality, reliability and          are major targets, with a register or SRAM storing
yield. It becomes highly important to test various       microcodes [1, 9]. We introduce a different
kinds of defects rapidly and precisely to reduce         microcoded BIST technique which aims to capture
the testing cost and to improve the memory               address decoder open faults in addition to
quality, especially in a SoC (system-on-a-chip)          conventional static faults. Furthermore, a certain
design environment. Memory defects can be                degree of neighborhood pattern-sensitive faults are
modeled as stuck-at, coupling, transition, address       detected by cellular-automata-based address and
decoder, and pattern-sensitive faults. Industry-         pattern generators.
wide use of memory fault models and March test
algorithms, with special emphasis on memory              II. BUILT IN SELF TEST (BIST)
fault simulation and test algorithm generation                     Figure 1 shows the BIST hardware
are discussed here and an improved version of            architecture in more detail. Basically, a design with
March test algorithm for high speed memory               embedded BIST architecture consists of a test
testing is implemented.                                  controller, hardware pattern generator, input
                                                         multiplexer, Circuit Under Test (CUT). Optionally,
Keywords - Random Access Memory (RAM),                   a design with BIST capability may include also the
System-on-Chip (SOC), Stuck-at Faults, Coupling          comparator and Read-Only-Memory (ROM). As
Faults, Transition Faults, Address Decoder Faults,       shown in Figure 1, the test controller is used to
Pattern-Sensitive Faults, March Test Algorithm           control the test pattern and test generation during
                                                         BIST mode. Hardware pattern generator functions
I. INTRODUCTION                                          to generate the input pattern to the CUT.
          It becomes highly important to test various              Normally, the pattern generator generates
kinds of defects rapidly and precisely to reduce the     exhaustive input test patterns to the CUT to ensure
testing cost and to improve the memory quality,          the high fault coverage. For example, a CUT with
especially in a SoC (system-on-a-chip) design            10 inputs will require 1024 test patterns. Primary
environment. Memory defects can be modelled as           Inputs are the input for CUT during the non-BIST
stuck-at, coupling, transition, address decoder, and     mode or in other word, functional mode. Input
pattern-sensitive faults, and it is known that the 80    multiplexer is used to select correct inputs for the
% of the failures are due to leakage defects [11].       CUT for different mode.
Among the different testing algorithms ranging from                During BIST mode, it selects input from
O( p n) to O(nlog(n)), BIST(built-in self test)          the hardware pattern generator while during
techniques with O( p n) to O(n) complexity               functional mode, selects primary inputs. Output
algorithms have been widely adopted for embedded         response compactor acts as compactor to reduce the
memories [2]. Memory test patterns can be                number of circuit responses to manageable size that
generated deterministically or randomly [3] through      can be used as the signature and stored on the ROM.
either test equipment or BIST circuitry. Test            Implementation of the pattern generation as well as
patterns generated randomly can detect not only          the response compactor will be discussed in more
modelled defects but also nonmodelled and timing         details in section below.
defects [4, 6] nevertheless, deterministic march
patterns, for their simplicity, are widely adopted for
BIST and chip testing. A few hardwired memory


                                                                                               1654 | P a g e
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
            Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1654-1659




Fig. 1 BIST Architecture

         As mentioned earlier, a BIST block can         Fig: 2 Block diagram of a BISR scheme [5].
optionally consist of a ROM and a comparator.
ROM is used to store the golden signature obtained                Once a fault is detected, the BIRA module
from simulation at the pre-silicon phase.          A    is informed to mark the defective spare row or
comparator is used to compare the signature             column as faulty through the error (ERR) and fault
obtained during BIST mode with the golden               syndrome (FS) signals. After finishing the spare
signature. If the signature matched with the golden     memory test, the BIST circuit tests the main
signature, then the chip is considered as fault free.   memory. If a fault is detected (ERR outputs a pulse),
On the other hand, if the signature is not matching     the test process pauses and the BIST module exports
with the golden signature, then the chip is             FS to the BIRA module, which then performs the
considered as faulty.                                   redundancy analysis procedure. When the procedure
         From Figure 3.2, the wires from primary        is completed and the memory testing is not yet
inputs to the input multiplexer and the wires from      finished, the BIRA module issues a continue (CNT)
circuit output P to primary outputs cannot be tested    signal to resume the test process. During the
by BIST. These wires require another testing            redundancy analysis procedure, if a spare row is
method such as an external ATE or JTAG Boundary         requested but there are no more spare rows, the
Scan hardware.                                          BIRA module exports the faulty row address
                                                        through the export mask address (EMA) and mask
III. MARCH TEST ALGORITHM                               address output (MAO) signals.
          Figure 2, taken from [19], depict the block             The memory will then be operated in a
diagram of a BISR scheme, including the BIST            downgraded mode (i.e., with smaller usable
module, BIRA module, and test wrapper for the           capacity) by software-based address remapping. If
memory. The BIST circuit detects the faults in the      downgrade mode is not allowed, AO is removed and
main memory and spare memory and is                     EMA indicates whether the memory is repairable.
programmable at the March element level [19]. The       When the main memory test and redundancy
BIRA circuit performs redundancy allocation. The        analysis are finished, the repair end flag (REF)
test wrapper switches the memory between                signal goes high and the BIRA module switches to
test/repair mode and normal mode. In test/repair        the normal mode. The BIRA module then serves as
mode, the memory is accessed by the BIST module,        the address remapped, and the memory can be
whereas in normal mode the wrapper selects the          accessed using the original address bus (ADDR).
data outputs either from the main memory or the         When the memory is accessed, ADDR is compared
spare memory (replacing the faulty memory cells)        with the fault addresses stored in the BIRA module.
depending on the control signals from the BIRA          If ADDR is the same as any of the fault addresses,
module. This BISR is a soft repair scheme therefore,    the BIRA module controls the wrapper to remap the
the BISR module will perform testing, analysis, and     access to spare memory.
repair upon every power up. As figure 4.1 indicates,              Although BIST schemes, such as the one in
the BIST circuit is activated by the power-on reset     the previous example, are promising, a number of
(POR) signal. When we turn on the power, the BIST       challenges in memory testing must be considered.
module starts to test the spare memory.                 For example, BIST cannot replace external memory
                                                        testers entirely if the BIST schemes used are only
                                                        for functional testing. Even BIST with diagnosis
                                                        support is insufficient because of the large amount
                                                        of diagnosis data that must be transferred to an
                                                        external tester, typically through a channel with
                                                        limited bandwidth. Furthermore, memory devices
                                                        normally require burn-in to reduce field failure rate.
                                                        For logic devices IDDQ is frequently used during


                                                                                             1655 | P a g e
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
             Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                   Vol. 2, Issue 6, November- December 2012, pp.1654-1659
burn-in to detect the failing devices, but IDDQ for
memories is difficult. What, then, should be done to
achieve the same reliability requirement when we
merge memory with logic? The combination of
built-in current sensors and BIST is one possible
approach, and the memory burn-in by BIST logic is
another.
          One of the most efficient RAM test
algorithms currently in use, in terms of test time and
fault detection capability, is the March algorithm
[16]. This algorithm has a test time on the order of
22N, where N is the number of address locations. In
addition to classical stuck-at faults, this algorithm is
capable of detecting pattern sensitivity faults, intra
word coupling faults, and bridging faults in the
RAM. For word-oriented memories, a background
data sequence (BDS) must be added to detect these          Fig: 3 Verilog hierarchy.
faults within each word of the memory. March
Algorithm which is generally used for designing                      Here it is presented graphically how the
testable SRAMs are described below.                        FSM works and how the testing is done with March
{↕(w0)↓(r0,w1,r1,w1,r1)↓(r1,w0,r0,w0,r0)↑(r0,w1,r          Algorithm. Take SRAM of Size 32 Bytes. For this
1,w1,r1) ↑(r1,w0,r0,w0,r0) ↕(r0)}                          SRAM testability is included. In March Algorithm,
The basic notations used in algorithm are as follows:      first of all Write all 0‟s from top to bottom or from
↑: address n-1 to 0                                        bottom to top. Then read data from last address and
↓: address 0 to n-1                                        compare with “00000000”. If it is matched replace
↕: either way                                              “00000000” with “11111111” then read data from
w0: Write 0 to the word                                    the same location and compare with “11111111”. If
w1: Write 1 to the word                                    it is matched with it decrement the address. If not
r0: Read a cell whose value should be 0                    error signal goes high. Decrement the address till it
r1: Read a cell whose value should be 1                    reaches first location. If it reached first location then
                                                           do the read data from first location, compare with
IV. MODIFIED MARCH ALGORITHM                               “11111111‟. If it matched write “00000000” in the
          Assume that the memory array under test          first location. Again read and compare with
has R rows and C columns. A typical march                  “00000000”. Do this process from top to bottom.
algorithm (such as Modified March algorithm)               After that again Read and compare with “00000000”
could consist of four steps as shown below.                from top to bottom or bottom to top.
↕ (W0); ↑(R0,W1,R1); ↓(R1,W0,R0); ↕ (R0)
 The basic notations used in algorithm are as
follows:
↑: address 0 to n-1
↓: address n-1 to 0
↕: either way
W0: Write 0 to the word
W1: Write 1 to the word
R0: Read a cell whose value should be 0
R1: Read a cell whose value should be 1

        This algorithm is described using Verilog
HDL. Figure 3 shows the Verilog hierarchical
diagram of the system.


                                                           Figure 4: SRAM chip at ↕ (W0) stage

                                                                    Figure 4 shows the graphical representation
                                                           of SRAM chip which is controlled by BIST FSM.
                                                           When BIST is running and it is at ↕ (W0) stage it
                                                           loads “00000000” at each location in the SRAM
                                                           chip. That stage we can observe in above figure.


                                                                                                  1656 | P a g e
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
            Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1654-1659




Figure 5: SRAM chip at↑(R0,W1,R1)stage                  Figure 7: Faulty SRAM chip at↑(R0,W1,R1)stage

Figure 5 shows the graphical representation of                    Figure 7 shows the graphical representation
SRAM chip which is controlled by BIST FSM. Here         of SRAM chip which is controlled by BIST FSM.
BIST is running and it is at ↑(R0,W1,R1)stage.          Here BIST is running and it is at ↑(R0,W1,R1)stage.
After writing “00000000” in all the locations of this   After writing “00000000” in all the locations of this
SRAM chip, Now the FSM is in ↑(R0,W1,R1)stage.          SRAM chip, Now the FSM is in ↑(R0,W1,R1)stage.
Figure 5 indicates up to this stage SRAM chip           Here at 15th address during write operation there is
working properly.                                       a fault. Instead of writing “00000000” it has written
                                                        “10101010”. So while read operation FSM read the
                                                        data byte and compares it with “00000000”. There is
                                                        a mismatch says that the chip is faulty one, which is
                                                        indicated as Faulty

                                                        V. SIMULATION RESULTS
                                                                 Verilog HDL Design of Testable SRAM is
                                                        done with Xilinx ISE Simulator. The design is
                                                        simulated with the same tool ISE simulator.
                                                        Simulation results are shown below. For different
                                                        levels of the algorithm the simulated results we can
                                                        observe here. Figure 7.1 shows the simulation
                                                        results when the FSM is in (R0, W1, R1) stage and
                                                        figure 7.2 shows the simulation results when the
                                                        FSM is in (R0) stage. After this stage “finish” goes
                                                        high indicating testing process completed. After this
Figure 6: SRAM chip at↑(R0,W1,R1)stage
                                                        stage error signal is “low” indicating it is error free
                                                        chip.
Figure 6 shows the graphical representation of
SRAM chip which is controlled by BIST FSM. Here
BIST is running and it is at ↑(R0,W1,R1) stage.
After writing “11111111”, now the FSM read a data
byte from the same location and compares it with
“11111111”. Figure 6 indicates up to this stage
SRAM chip working properly.




                                                        Figure 8: Simulation results when the FSM is in
                                                        (R0, W1, R1) stage.
                                                                 Above is the simulation result showing that
                                                        the FSM is in (R0, W1, R1) stage. After writing
                                                        “00000000” in all the locations of this SRAM chip,

                                                                                              1657 | P a g e
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
            Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                  Vol. 2, Issue 6, November- December 2012, pp.1654-1659
Now the FSM is in (R0, W1, R1) stage. In this stage
„error‟ output is „0‟ indicating up to this stage chip
working properly and „finish‟ output signal „0‟
indicates the FSM still checking further.




                                                         Figure 11: Simulation results when the FSM is in
                                                         (R0, W1, R1) stage for a faulty chip.

                                                                  Above is the simulation result showing that
                                                         the FSM is in (R0, W1, R1) stage. After writing
                                                         “00000000” in all the locations of this SRAM chip,
Figure 9: Simulation results when the FSM is in
                                                         Now the FSM is in (R0, W1, R1) stage. In this stage
(R0) stage.
                                                         „error‟ output is „1‟ indicating up to this stage chip
Above is the simulation result showing that the FSM
                                                         working properly. Now after reading from the
is in (R0) stage. After (R1, W0, R0) stage, Now the
                                                         address “00001111” that data byte is compared with
FSM is in (R0) stage. In this stage „error‟ output is
                                                         “00000000” and it does not matched with
„0‟ indicating up to this stage chip working properly.
                                                         “00000000”. So „error‟ signal goes logic „1‟
After completion of this stage „finish‟ output signal
                                                         indicating it a faulty chip. The „finish‟ output signal
„1‟ indicates the FSM finished checking.
                                                         also goes logic „1‟ level indicating test completed.

                                                         VI. CONCLUSION
                                                                   This algorithm is quite fast and can provide
                                                         excellent solutions in short run-times. My
                                                         experimental results indicate that this flow can save
                                                         the designer many days of work by offering good
                                                         BIST architectures which are complete in terms of
                                                         logical and physical attributes. BIST designed here
                                                         using March algorithm tests faster than the
                                                         previously proposed ones and nearly 100% fault
                                                         coverage .Compared with currently known
                                                         microcode-based BIST techniques, my design
                                                         requires only one third of those microcode storages
                                                         and the testing time is reduced fifty percent. It is
Figure 10: Simulation results when the FSM is in         strongly believed that this BIST can be widely used
 (R0, W1, R1) stage for a faulty chip                    for the embedded memory testing especially under
Above is the simulation result showing that the FSM      the SoC design environment due to the superior
is in (R0, W1, R1) stage. After writing “00000000”       flexibility and extendibility in applying different
in all the locations of this SRAM chip, Now the          combination of memory test algorithms. Future
FSM is in (R0, W1, R1) stage. In this stage „error‟      work will consider optimization algorithms other
output is „0‟ indicating up to this stage chip working   than the March algorithm used in this work to obtain
properly and „finish‟ output signal „0‟ indicates the    better solutions.
FSM still checking further.
                                                         REFERENCES
                                                           [1]    S. W. Golomb, Shift Register Sequence,
                                                                  Aegean Park Press, Laguna Hills, CA,
                                                                  1982.
                                                           [2]    F. Brglez and H. Fujiwara, A neutral
                                                                  netlistof 10 combinational benchmark
                                                                  circuits and a target translator in Fortran, in
                                                                  Proc. Int. Symp. on Circuits and Systems,
                                                                  pp. 663–698, June 1985.
                                                           [3]    P. H. Bardell, W. H. McAnney, and J.
                                                                  Savir,     Built-In     Test     for    VLSI:

                                                                                               1658 | P a g e
D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering
          Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
                Vol. 2, Issue 6, November- December 2012, pp.1654-1659
      Pseudorandom Techniques, John Wiley &            [10] D. Gizopoulos, N. Kranitis, A. Paschalis,
      Sons, Somerset, NJ, 1987.                             M. Psarakis, and Y. Zorian, “Low
[4]   R.-M. Chou, K. K. Saluja, and V. D.                   Power/Energy       BIST     Scheme    for
      Agrawal, Power constraint scheduling of               Datapaths,” in Proc. VLSI Test Symp., pp.
      tests, in Proc. Int. Conf. on VLSI Design,            23–28, May 2000.
      pp. 271–274, January 1994.                       [11] M. Abramovici, M. A. Breuer, and A. D.
[5]   L.-T. Wang, C.-W. Wu, and X. Wen,                     Friedman, Digital Systems Testing and
      editors, VLSI Test Principles and                     Testable Design, IEEE Press, Revised
      Architectures: Design for Testability,                Printing, Piscataway, NJ, 1994.
      Morgan Kaufmann, San Francisco, 2006.            [12] E. J. McCluskey, Logic Design Principles:
[6]   F.     Corno,     M.      Rebaudengo,     M.          With Emphasis on Testable Semicustom
      SonzaReorda, G. Squillero, and M.                     Circuits, Prentice-Hall, Englewood Cliffs,
      Violente, Low power BIST via non-linear               NJ, 1986.
      hybrid cellular automata, in Proc. VLSI          AUTHORS
      Test Symp., pp. 29–34, May 2000.
[7]   P. Girard, L. Guiller, C. Landrault, and S.    D. Vishwa Bharathi: Asst. Professor in Pragati
      Pravossoudovitch, A test vector inhibiting     Engineering College. Has Two years of teaching
      technique for low energy BIST design, in       experience. Major working areas are Digital
      Proc. VLSI Test Symp., pp. 407–412, April      electronics, Image processing and VLSI.
      1999.                                          K. Raghuram: Associate Professor in Pragati
[8]   P. Girard, L. Guiller, C. Landrault, S.        Engineering College. Has Five years of teaching
      Pravossoudovitch, J. Figueras, S. Manich,      experience. Major working areas are Embedded
      P. Teixeira, and M. Santos, Low energy         systems, Image processing and VLSI.
      BIST design: Impact of the LFSR TPG
      parameters on the weighted switching                         G. Rajesh Kumar: Asst. Professor
      activity, in Proc. Int. Symp.on Circuits and                 in Vishnu institute of technology.
      Systems, CD-ROM Proceedings, June                            Has five years of teaching
      1999.                                                        experience. Major working areas are
[9]   P. Girard, L. Guiller, C. Landrault, S.                      Digital    electronics,   Embedded
      Pravossoudovitch, and H. J. Wunderlich, A                    Systems and VLSI. Presented
      modified clock scheme for a low power                        research papers in four international
      BIST test pattern generator, in Proc. VLSI                   conferences and two national
      Test Symp., pp. 306–311, May 2001.                           conferences. Published Research
                                                                   papers in Four international journals.




                                                                                        1659 | P a g e

Contenu connexe

Tendances

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Editor IJCATR
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog
Srinivas Naidu
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 
Ee325 cmos design lab 4 report - loren k schwappach
Ee325 cmos design   lab 4 report - loren k schwappachEe325 cmos design   lab 4 report - loren k schwappach
Ee325 cmos design lab 4 report - loren k schwappach
Loren Schwappach
 

Tendances (20)

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in ...
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
64 bit sram memory: design paper
64 bit sram memory: design paper64 bit sram memory: design paper
64 bit sram memory: design paper
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Low power sram
Low power sramLow power sram
Low power sram
 
001599
001599001599
001599
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Kj2417641769
Kj2417641769Kj2417641769
Kj2417641769
 
Design of a low power asynchronous SRAM in 45nM CMOS
Design of a low power asynchronous SRAM in 45nM CMOSDesign of a low power asynchronous SRAM in 45nM CMOS
Design of a low power asynchronous SRAM in 45nM CMOS
 
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISAImproving Code Compression Efficiency of MIPS32 Processor using Modified ISA
Improving Code Compression Efficiency of MIPS32 Processor using Modified ISA
 
SRAM
SRAMSRAM
SRAM
 
Sram technology
Sram technologySram technology
Sram technology
 
Ee325 cmos design lab 4 report - loren k schwappach
Ee325 cmos design   lab 4 report - loren k schwappachEe325 cmos design   lab 4 report - loren k schwappach
Ee325 cmos design lab 4 report - loren k schwappach
 

En vedette (19)

Il2616361640
Il2616361640Il2616361640
Il2616361640
 
Ii2616161619
Ii2616161619Ii2616161619
Ii2616161619
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
B31008012
B31008012B31008012
B31008012
 
Ax31338342
Ax31338342Ax31338342
Ax31338342
 
Ab31169180
Ab31169180Ab31169180
Ab31169180
 
At24301310
At24301310At24301310
At24301310
 
Ez24947953
Ez24947953Ez24947953
Ez24947953
 
Al24258261
Al24258261Al24258261
Al24258261
 
Ah24233237
Ah24233237Ah24233237
Ah24233237
 
Av24317320
Av24317320Av24317320
Av24317320
 
Ae24215219
Ae24215219Ae24215219
Ae24215219
 
Ac24204209
Ac24204209Ac24204209
Ac24204209
 
Bh24380384
Bh24380384Bh24380384
Bh24380384
 
Ar31302307
Ar31302307Ar31302307
Ar31302307
 
Bl31426430
Bl31426430Bl31426430
Bl31426430
 
Ap31289293
Ap31289293Ap31289293
Ap31289293
 
Ai31250252
Ai31250252Ai31250252
Ai31250252
 
Bb31269380
Bb31269380Bb31269380
Bb31269380
 

Similaire à Ip2616541659

AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptxAN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
Keshvan Dhanapal
 
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdfAN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
Keshvan Dhanapal
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
IJMERJOURNAL
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd Iaetsd
 
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
IJERA Editor
 

Similaire à Ip2616541659 (20)

Conference 2 ieee
Conference 2 ieeeConference 2 ieee
Conference 2 ieee
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGAIRJET-  	  UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
IRJET- UART Testing under Built-In-Self-Test(BIST) using Verilog on FPGA
 
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptxAN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1.pptx
 
BIRA recent.pptx
BIRA recent.pptxBIRA recent.pptx
BIRA recent.pptx
 
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdfAN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
AN EFFICIENT MEMORY DESIGN FOR ERROR TOLERANT APPLICATION1 (1).pdf
 
Design and Implementation of Repair-aware Test Flow for Multi-Memory
Design and Implementation of Repair-aware Test Flow for Multi-MemoryDesign and Implementation of Repair-aware Test Flow for Multi-Memory
Design and Implementation of Repair-aware Test Flow for Multi-Memory
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...Designing of telecommand system using system on chip soc for spacecraft contr...
Designing of telecommand system using system on chip soc for spacecraft contr...
 
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
Complex Test Pattern Generation for high speed fault diagnosis in FPGA based ...
 
A Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded MemoriesA Built In Self-Test and Repair Analyser for Embedded Memories
A Built In Self-Test and Repair Analyser for Embedded Memories
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embedded
 
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
 
Robust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory InterfaceRobust Fault Tolerance in Content Addressable Memory Interface
Robust Fault Tolerance in Content Addressable Memory Interface
 
IRJET - Design Verification of Universal Memory Controller IP Core (UMC) usin...
IRJET - Design Verification of Universal Memory Controller IP Core (UMC) usin...IRJET - Design Verification of Universal Memory Controller IP Core (UMC) usin...
IRJET - Design Verification of Universal Memory Controller IP Core (UMC) usin...
 
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xi...
 
Microprocess Microconroller mcq 1000+
Microprocess Microconroller mcq 1000+Microprocess Microconroller mcq 1000+
Microprocess Microconroller mcq 1000+
 
AREA, DELAY AND POWER ANALYSIS OF BUILT IN SELF REPAIR USING 2-D REDUNDANCY
AREA, DELAY AND POWER ANALYSIS OF BUILT IN SELF REPAIR USING 2-D REDUNDANCYAREA, DELAY AND POWER ANALYSIS OF BUILT IN SELF REPAIR USING 2-D REDUNDANCY
AREA, DELAY AND POWER ANALYSIS OF BUILT IN SELF REPAIR USING 2-D REDUNDANCY
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using Redundancy
 
002079
002079002079
002079
 

Ip2616541659

  • 1. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 High Speed Test Architecture for SRAM using Modified March Algorithm D. Viswabharathi*, K. Raghuram**, G. Rajesh Kumar*** *(Department ofElectronics & Communications Engineering, Pragati Engineering College) ** (Department ofElectronics & Communications Engineering, Pragati Engineering College) *** (Department ofElectronics & Communications Engineering, Vishnu Institute of Technology) ABSTRACT Fault diagnosis and location in BIST techniques have been developed [7], and semiconductor Random Access Memories (RAM) recently some microcoded memory BIST circuits are of prime importance in connection with the were implemented for embedded Memories [8]. In increasing density and dominating portion of general microcoded memory BIST techniques have embedded memories in system-on-chips (SOC). great exibility in applying di_erent combinations of Manufacturing defects should be detected, test patterns for static and dynamic defects. Memory diagnosed and located for further repair in order retention faults, as well as conventional static faults, to improve the product quality, reliability and are major targets, with a register or SRAM storing yield. It becomes highly important to test various microcodes [1, 9]. We introduce a different kinds of defects rapidly and precisely to reduce microcoded BIST technique which aims to capture the testing cost and to improve the memory address decoder open faults in addition to quality, especially in a SoC (system-on-a-chip) conventional static faults. Furthermore, a certain design environment. Memory defects can be degree of neighborhood pattern-sensitive faults are modeled as stuck-at, coupling, transition, address detected by cellular-automata-based address and decoder, and pattern-sensitive faults. Industry- pattern generators. wide use of memory fault models and March test algorithms, with special emphasis on memory II. BUILT IN SELF TEST (BIST) fault simulation and test algorithm generation Figure 1 shows the BIST hardware are discussed here and an improved version of architecture in more detail. Basically, a design with March test algorithm for high speed memory embedded BIST architecture consists of a test testing is implemented. controller, hardware pattern generator, input multiplexer, Circuit Under Test (CUT). Optionally, Keywords - Random Access Memory (RAM), a design with BIST capability may include also the System-on-Chip (SOC), Stuck-at Faults, Coupling comparator and Read-Only-Memory (ROM). As Faults, Transition Faults, Address Decoder Faults, shown in Figure 1, the test controller is used to Pattern-Sensitive Faults, March Test Algorithm control the test pattern and test generation during BIST mode. Hardware pattern generator functions I. INTRODUCTION to generate the input pattern to the CUT. It becomes highly important to test various Normally, the pattern generator generates kinds of defects rapidly and precisely to reduce the exhaustive input test patterns to the CUT to ensure testing cost and to improve the memory quality, the high fault coverage. For example, a CUT with especially in a SoC (system-on-a-chip) design 10 inputs will require 1024 test patterns. Primary environment. Memory defects can be modelled as Inputs are the input for CUT during the non-BIST stuck-at, coupling, transition, address decoder, and mode or in other word, functional mode. Input pattern-sensitive faults, and it is known that the 80 multiplexer is used to select correct inputs for the % of the failures are due to leakage defects [11]. CUT for different mode. Among the different testing algorithms ranging from During BIST mode, it selects input from O( p n) to O(nlog(n)), BIST(built-in self test) the hardware pattern generator while during techniques with O( p n) to O(n) complexity functional mode, selects primary inputs. Output algorithms have been widely adopted for embedded response compactor acts as compactor to reduce the memories [2]. Memory test patterns can be number of circuit responses to manageable size that generated deterministically or randomly [3] through can be used as the signature and stored on the ROM. either test equipment or BIST circuitry. Test Implementation of the pattern generation as well as patterns generated randomly can detect not only the response compactor will be discussed in more modelled defects but also nonmodelled and timing details in section below. defects [4, 6] nevertheless, deterministic march patterns, for their simplicity, are widely adopted for BIST and chip testing. A few hardwired memory 1654 | P a g e
  • 2. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 Fig. 1 BIST Architecture As mentioned earlier, a BIST block can Fig: 2 Block diagram of a BISR scheme [5]. optionally consist of a ROM and a comparator. ROM is used to store the golden signature obtained Once a fault is detected, the BIRA module from simulation at the pre-silicon phase. A is informed to mark the defective spare row or comparator is used to compare the signature column as faulty through the error (ERR) and fault obtained during BIST mode with the golden syndrome (FS) signals. After finishing the spare signature. If the signature matched with the golden memory test, the BIST circuit tests the main signature, then the chip is considered as fault free. memory. If a fault is detected (ERR outputs a pulse), On the other hand, if the signature is not matching the test process pauses and the BIST module exports with the golden signature, then the chip is FS to the BIRA module, which then performs the considered as faulty. redundancy analysis procedure. When the procedure From Figure 3.2, the wires from primary is completed and the memory testing is not yet inputs to the input multiplexer and the wires from finished, the BIRA module issues a continue (CNT) circuit output P to primary outputs cannot be tested signal to resume the test process. During the by BIST. These wires require another testing redundancy analysis procedure, if a spare row is method such as an external ATE or JTAG Boundary requested but there are no more spare rows, the Scan hardware. BIRA module exports the faulty row address through the export mask address (EMA) and mask III. MARCH TEST ALGORITHM address output (MAO) signals. Figure 2, taken from [19], depict the block The memory will then be operated in a diagram of a BISR scheme, including the BIST downgraded mode (i.e., with smaller usable module, BIRA module, and test wrapper for the capacity) by software-based address remapping. If memory. The BIST circuit detects the faults in the downgrade mode is not allowed, AO is removed and main memory and spare memory and is EMA indicates whether the memory is repairable. programmable at the March element level [19]. The When the main memory test and redundancy BIRA circuit performs redundancy allocation. The analysis are finished, the repair end flag (REF) test wrapper switches the memory between signal goes high and the BIRA module switches to test/repair mode and normal mode. In test/repair the normal mode. The BIRA module then serves as mode, the memory is accessed by the BIST module, the address remapped, and the memory can be whereas in normal mode the wrapper selects the accessed using the original address bus (ADDR). data outputs either from the main memory or the When the memory is accessed, ADDR is compared spare memory (replacing the faulty memory cells) with the fault addresses stored in the BIRA module. depending on the control signals from the BIRA If ADDR is the same as any of the fault addresses, module. This BISR is a soft repair scheme therefore, the BIRA module controls the wrapper to remap the the BISR module will perform testing, analysis, and access to spare memory. repair upon every power up. As figure 4.1 indicates, Although BIST schemes, such as the one in the BIST circuit is activated by the power-on reset the previous example, are promising, a number of (POR) signal. When we turn on the power, the BIST challenges in memory testing must be considered. module starts to test the spare memory. For example, BIST cannot replace external memory testers entirely if the BIST schemes used are only for functional testing. Even BIST with diagnosis support is insufficient because of the large amount of diagnosis data that must be transferred to an external tester, typically through a channel with limited bandwidth. Furthermore, memory devices normally require burn-in to reduce field failure rate. For logic devices IDDQ is frequently used during 1655 | P a g e
  • 3. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 burn-in to detect the failing devices, but IDDQ for memories is difficult. What, then, should be done to achieve the same reliability requirement when we merge memory with logic? The combination of built-in current sensors and BIST is one possible approach, and the memory burn-in by BIST logic is another. One of the most efficient RAM test algorithms currently in use, in terms of test time and fault detection capability, is the March algorithm [16]. This algorithm has a test time on the order of 22N, where N is the number of address locations. In addition to classical stuck-at faults, this algorithm is capable of detecting pattern sensitivity faults, intra word coupling faults, and bridging faults in the RAM. For word-oriented memories, a background data sequence (BDS) must be added to detect these Fig: 3 Verilog hierarchy. faults within each word of the memory. March Algorithm which is generally used for designing Here it is presented graphically how the testable SRAMs are described below. FSM works and how the testing is done with March {↕(w0)↓(r0,w1,r1,w1,r1)↓(r1,w0,r0,w0,r0)↑(r0,w1,r Algorithm. Take SRAM of Size 32 Bytes. For this 1,w1,r1) ↑(r1,w0,r0,w0,r0) ↕(r0)} SRAM testability is included. In March Algorithm, The basic notations used in algorithm are as follows: first of all Write all 0‟s from top to bottom or from ↑: address n-1 to 0 bottom to top. Then read data from last address and ↓: address 0 to n-1 compare with “00000000”. If it is matched replace ↕: either way “00000000” with “11111111” then read data from w0: Write 0 to the word the same location and compare with “11111111”. If w1: Write 1 to the word it is matched with it decrement the address. If not r0: Read a cell whose value should be 0 error signal goes high. Decrement the address till it r1: Read a cell whose value should be 1 reaches first location. If it reached first location then do the read data from first location, compare with IV. MODIFIED MARCH ALGORITHM “11111111‟. If it matched write “00000000” in the Assume that the memory array under test first location. Again read and compare with has R rows and C columns. A typical march “00000000”. Do this process from top to bottom. algorithm (such as Modified March algorithm) After that again Read and compare with “00000000” could consist of four steps as shown below. from top to bottom or bottom to top. ↕ (W0); ↑(R0,W1,R1); ↓(R1,W0,R0); ↕ (R0) The basic notations used in algorithm are as follows: ↑: address 0 to n-1 ↓: address n-1 to 0 ↕: either way W0: Write 0 to the word W1: Write 1 to the word R0: Read a cell whose value should be 0 R1: Read a cell whose value should be 1 This algorithm is described using Verilog HDL. Figure 3 shows the Verilog hierarchical diagram of the system. Figure 4: SRAM chip at ↕ (W0) stage Figure 4 shows the graphical representation of SRAM chip which is controlled by BIST FSM. When BIST is running and it is at ↕ (W0) stage it loads “00000000” at each location in the SRAM chip. That stage we can observe in above figure. 1656 | P a g e
  • 4. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 Figure 5: SRAM chip at↑(R0,W1,R1)stage Figure 7: Faulty SRAM chip at↑(R0,W1,R1)stage Figure 5 shows the graphical representation of Figure 7 shows the graphical representation SRAM chip which is controlled by BIST FSM. Here of SRAM chip which is controlled by BIST FSM. BIST is running and it is at ↑(R0,W1,R1)stage. Here BIST is running and it is at ↑(R0,W1,R1)stage. After writing “00000000” in all the locations of this After writing “00000000” in all the locations of this SRAM chip, Now the FSM is in ↑(R0,W1,R1)stage. SRAM chip, Now the FSM is in ↑(R0,W1,R1)stage. Figure 5 indicates up to this stage SRAM chip Here at 15th address during write operation there is working properly. a fault. Instead of writing “00000000” it has written “10101010”. So while read operation FSM read the data byte and compares it with “00000000”. There is a mismatch says that the chip is faulty one, which is indicated as Faulty V. SIMULATION RESULTS Verilog HDL Design of Testable SRAM is done with Xilinx ISE Simulator. The design is simulated with the same tool ISE simulator. Simulation results are shown below. For different levels of the algorithm the simulated results we can observe here. Figure 7.1 shows the simulation results when the FSM is in (R0, W1, R1) stage and figure 7.2 shows the simulation results when the FSM is in (R0) stage. After this stage “finish” goes high indicating testing process completed. After this Figure 6: SRAM chip at↑(R0,W1,R1)stage stage error signal is “low” indicating it is error free chip. Figure 6 shows the graphical representation of SRAM chip which is controlled by BIST FSM. Here BIST is running and it is at ↑(R0,W1,R1) stage. After writing “11111111”, now the FSM read a data byte from the same location and compares it with “11111111”. Figure 6 indicates up to this stage SRAM chip working properly. Figure 8: Simulation results when the FSM is in (R0, W1, R1) stage. Above is the simulation result showing that the FSM is in (R0, W1, R1) stage. After writing “00000000” in all the locations of this SRAM chip, 1657 | P a g e
  • 5. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 Now the FSM is in (R0, W1, R1) stage. In this stage „error‟ output is „0‟ indicating up to this stage chip working properly and „finish‟ output signal „0‟ indicates the FSM still checking further. Figure 11: Simulation results when the FSM is in (R0, W1, R1) stage for a faulty chip. Above is the simulation result showing that the FSM is in (R0, W1, R1) stage. After writing “00000000” in all the locations of this SRAM chip, Figure 9: Simulation results when the FSM is in Now the FSM is in (R0, W1, R1) stage. In this stage (R0) stage. „error‟ output is „1‟ indicating up to this stage chip Above is the simulation result showing that the FSM working properly. Now after reading from the is in (R0) stage. After (R1, W0, R0) stage, Now the address “00001111” that data byte is compared with FSM is in (R0) stage. In this stage „error‟ output is “00000000” and it does not matched with „0‟ indicating up to this stage chip working properly. “00000000”. So „error‟ signal goes logic „1‟ After completion of this stage „finish‟ output signal indicating it a faulty chip. The „finish‟ output signal „1‟ indicates the FSM finished checking. also goes logic „1‟ level indicating test completed. VI. CONCLUSION This algorithm is quite fast and can provide excellent solutions in short run-times. My experimental results indicate that this flow can save the designer many days of work by offering good BIST architectures which are complete in terms of logical and physical attributes. BIST designed here using March algorithm tests faster than the previously proposed ones and nearly 100% fault coverage .Compared with currently known microcode-based BIST techniques, my design requires only one third of those microcode storages and the testing time is reduced fifty percent. It is Figure 10: Simulation results when the FSM is in strongly believed that this BIST can be widely used (R0, W1, R1) stage for a faulty chip for the embedded memory testing especially under Above is the simulation result showing that the FSM the SoC design environment due to the superior is in (R0, W1, R1) stage. After writing “00000000” flexibility and extendibility in applying different in all the locations of this SRAM chip, Now the combination of memory test algorithms. Future FSM is in (R0, W1, R1) stage. In this stage „error‟ work will consider optimization algorithms other output is „0‟ indicating up to this stage chip working than the March algorithm used in this work to obtain properly and „finish‟ output signal „0‟ indicates the better solutions. FSM still checking further. REFERENCES [1] S. W. Golomb, Shift Register Sequence, Aegean Park Press, Laguna Hills, CA, 1982. [2] F. Brglez and H. Fujiwara, A neutral netlistof 10 combinational benchmark circuits and a target translator in Fortran, in Proc. Int. Symp. on Circuits and Systems, pp. 663–698, June 1985. [3] P. H. Bardell, W. H. McAnney, and J. Savir, Built-In Test for VLSI: 1658 | P a g e
  • 6. D. Viswabharathi, K. Raghuram, G. Rajesh Kumar / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 6, November- December 2012, pp.1654-1659 Pseudorandom Techniques, John Wiley & [10] D. Gizopoulos, N. Kranitis, A. Paschalis, Sons, Somerset, NJ, 1987. M. Psarakis, and Y. Zorian, “Low [4] R.-M. Chou, K. K. Saluja, and V. D. Power/Energy BIST Scheme for Agrawal, Power constraint scheduling of Datapaths,” in Proc. VLSI Test Symp., pp. tests, in Proc. Int. Conf. on VLSI Design, 23–28, May 2000. pp. 271–274, January 1994. [11] M. Abramovici, M. A. Breuer, and A. D. [5] L.-T. Wang, C.-W. Wu, and X. Wen, Friedman, Digital Systems Testing and editors, VLSI Test Principles and Testable Design, IEEE Press, Revised Architectures: Design for Testability, Printing, Piscataway, NJ, 1994. Morgan Kaufmann, San Francisco, 2006. [12] E. J. McCluskey, Logic Design Principles: [6] F. Corno, M. Rebaudengo, M. With Emphasis on Testable Semicustom SonzaReorda, G. Squillero, and M. Circuits, Prentice-Hall, Englewood Cliffs, Violente, Low power BIST via non-linear NJ, 1986. hybrid cellular automata, in Proc. VLSI AUTHORS Test Symp., pp. 29–34, May 2000. [7] P. Girard, L. Guiller, C. Landrault, and S. D. Vishwa Bharathi: Asst. Professor in Pragati Pravossoudovitch, A test vector inhibiting Engineering College. Has Two years of teaching technique for low energy BIST design, in experience. Major working areas are Digital Proc. VLSI Test Symp., pp. 407–412, April electronics, Image processing and VLSI. 1999. K. Raghuram: Associate Professor in Pragati [8] P. Girard, L. Guiller, C. Landrault, S. Engineering College. Has Five years of teaching Pravossoudovitch, J. Figueras, S. Manich, experience. Major working areas are Embedded P. Teixeira, and M. Santos, Low energy systems, Image processing and VLSI. BIST design: Impact of the LFSR TPG parameters on the weighted switching G. Rajesh Kumar: Asst. Professor activity, in Proc. Int. Symp.on Circuits and in Vishnu institute of technology. Systems, CD-ROM Proceedings, June Has five years of teaching 1999. experience. Major working areas are [9] P. Girard, L. Guiller, C. Landrault, S. Digital electronics, Embedded Pravossoudovitch, and H. J. Wunderlich, A Systems and VLSI. Presented modified clock scheme for a low power research papers in four international BIST test pattern generator, in Proc. VLSI conferences and two national Test Symp., pp. 306–311, May 2001. conferences. Published Research papers in Four international journals. 1659 | P a g e