SlideShare une entreprise Scribd logo
1  sur  19
Télécharger pour lire hors ligne
file://Zeus/class$/ee466/public_html/tutorial/layout.html



                   CADENCE LAYOUT
                      TUTORIAL
Creating Layout of an inverter from a Schematic:
Open the existing Schematic




                                                   Page 1
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the schematic editor window
Tools >Design Synthesis >Layout XL

A window for startup Options comes up



                                                  Page 2
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the button corresponding to the Create New text as shown

A Create New File window comes up. The Cell Name corresponds to the schematic name, leave it that
way.
The field corresponding to the View Name label should read Layout.
Click OK and see the layout window come up.




                                                    Page 3
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 4
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the layout window menu select:
Create >pick from Schematic and the window below comes up




Highlight/Select the entire circuit from the schematic window and move the mouse onto the layout
window. The layout components of your circuit show on the layout window. Place them with a click of
the mouse. If the layers do not show; simultaneously press the SHIFT key and the letter F and the layers
will show. Now connect the Poly layers using the drawing tool. This is achieved by selecting from the
LSW window the P0 drawing layer and drawing a rectangle that joins the nMOS and pMOS gates (red
layer on each transistor}.

Connect the drains using the M1 drawing layer selected from the LSW window. Draw the ground and
vdd nets. They will be of 0.36microns wide. See the picture below for dimensions. Use the hot key "i" to
insert the NTAP_J instance on the vdd net, making sure the contact is directly on the net. Insert the
PTAP_J instance on the gnd net.

VERY IMPORTANT
                                                    Page 5
file://Zeus/class$/ee466/public_html/tutorial/layout.html
VERY IMPORTANT
Labels must use the pin layers instead of the text. See diagram below. To view the properties and
connectivity of the pin: select the pin and click the middle mouse button to select properties. The same
should be done with the text box.




Make sure the pin and the text are of the corresponding layer e.g if the pin is a P0 pin make sure it is
placed on P0 layer and the text must be of P0 material.




                                                     Page 6
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 7
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 8
file://Zeus/class$/ee466/public_html/tutorial/layout.html




The layout is now complete and needs to be checked for design rule violations. On the layout window
click on the Calibre menu item:
Caliber >Run DRC




                                                   Page 9
file://Zeus/class$/ee466/public_html/tutorial/layout.html




We will use the default selection. Click OK on the window above.




                                                  Page 10
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Click on Run DRC and two more windows will show up. The one that shows first does not contain
information of interest. The second one is key.

The window below shows results of a layout that has an error. Watch the comments at the bottom of the
window, they give the specifics on what the error is.




                                                  Page 11
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                                                                                                           a
Create Library form appears, fill it as

Right Click on top of the lettering highlighted in blue on the window above and watch the layout window
closely. The area that has the error gets highlighted. Sometimes the color used to highlight is the same as
the color of the material making it difficult to see where the error is. If you click on the numbers 01 or 02,
you will see on the right hand column of this window the coordinates and you can thus click on these
coordinates and watch the response on the layout window.

Correct the error and run DRC again to see if an more violations exist. The DRC Window below shows
results of an error free layout, one in which no design rules have been violated.




                                                     Page 12
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Once we have succeeded with DRC we need to compare the layout vs the schematic using LVS. Click
on the Caliber menu item:
Caliber >Run LVS

The window below shows a failed LVS. Clicking on the lettering highlighted in blue shows what the
problem is.




                                                  Page 13
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Fix the errors and re-run LVS, Note the green faces indicating success.




                                                   Page 14
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Now that DRC and LVS have passed close these windows and on the Layout window click on the Tools
menu item:
Tools >Post-Layout Simulation >Schematic With Skipped Cells.

The Window below comes up.
                                                 Page 15
file://Zeus/class$/ee466/public_html/tutorial/layout.html
The Window below comes up.




                                               Page 16
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                        Page 17
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the Extraction Button and then Click on Run PLS

Watch the icfb window. If the extraction is completed successfully you will see the text in the figure
below otherwise a message that reads: "pls:PLS Failed" will be displayed and you will have to determine
the problem and fix it. If your design had not passed LVS you will get a Warning Message that states that
the Schematic and the Layout are not compatible. You can proceed with the subsequent steps even
though LVS failed.




Now you have extracted schematic and layout views of your layout with all the parasitics. The library
manager quits automatically at this point (should not happen but ....). Close the schematic and layout
editing windows.

Open the library manager and select your library.




                                                    Page 18
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Notice the additional files that have been created (PLSextracted and PLSsch_RCMAX_RCc), open the
PLSsch_RCMAX_RCc fil). It contains the schematic of your transistors as extracted from the layout with
all the paracitics (capacitances and resistances). From this schematic window select the Tools menu item
as shown in the figure below:
Tools >Analog Environment




                                                   Page 19

Contenu connexe

En vedette

En vedette (7)

Design of two stage OPAMP
Design of two stage OPAMPDesign of two stage OPAMP
Design of two stage OPAMP
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier Design
 
Single Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode AmplifierSingle Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode Amplifier
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadence
 
Two stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in CadenceTwo stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in Cadence
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiers
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technology
 

Similaire à Cadence layout Tutorial

DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User Documentation
Patrick O'Conor
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Joanne Cook
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linux
Anar Godjaev
 

Similaire à Cadence layout Tutorial (20)

InstallationGuide.pdf
InstallationGuide.pdfInstallationGuide.pdf
InstallationGuide.pdf
 
Creating a dot netnuke
Creating a dot netnukeCreating a dot netnuke
Creating a dot netnuke
 
Wdlxtut
WdlxtutWdlxtut
Wdlxtut
 
Hacks
HacksHacks
Hacks
 
DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User Documentation
 
Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812
 
codeblocks-instructions.pdf
codeblocks-instructions.pdfcodeblocks-instructions.pdf
codeblocks-instructions.pdf
 
install k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bitinstall k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bit
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with Scilab
 
Dsplab v1
Dsplab v1Dsplab v1
Dsplab v1
 
Get ntdll fixed
Get ntdll fixedGet ntdll fixed
Get ntdll fixed
 
PCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI DesigningPCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI Designing
 
Ankit Phadia Hacking tools
Ankit Phadia Hacking toolsAnkit Phadia Hacking tools
Ankit Phadia Hacking tools
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
 
How to work with code blocks
How to work with code blocksHow to work with code blocks
How to work with code blocks
 
Vb%20 tutorial
Vb%20 tutorialVb%20 tutorial
Vb%20 tutorial
 
Advance Computer Architecture
Advance Computer ArchitectureAdvance Computer Architecture
Advance Computer Architecture
 
How to install Java and how to set the path
How to install Java and how to set the pathHow to install Java and how to set the path
How to install Java and how to set the path
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linux
 
Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...
 

Dernier

Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
ciinovamais
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
negromaestrong
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
kauryashika82
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
heathfieldcps1
 

Dernier (20)

Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Holdier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdfHoldier Curriculum Vitae (April 2024).pdf
Holdier Curriculum Vitae (April 2024).pdf
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 
psychiatric nursing HISTORY COLLECTION .docx
psychiatric  nursing HISTORY  COLLECTION  .docxpsychiatric  nursing HISTORY  COLLECTION  .docx
psychiatric nursing HISTORY COLLECTION .docx
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Micro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdfMicro-Scholarship, What it is, How can it help me.pdf
Micro-Scholarship, What it is, How can it help me.pdf
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Activity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdfActivity 01 - Artificial Culture (1).pdf
Activity 01 - Artificial Culture (1).pdf
 
Food Chain and Food Web (Ecosystem) EVS, B. Pharmacy 1st Year, Sem-II
Food Chain and Food Web (Ecosystem) EVS, B. Pharmacy 1st Year, Sem-IIFood Chain and Food Web (Ecosystem) EVS, B. Pharmacy 1st Year, Sem-II
Food Chain and Food Web (Ecosystem) EVS, B. Pharmacy 1st Year, Sem-II
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
General Principles of Intellectual Property: Concepts of Intellectual Proper...
General Principles of Intellectual Property: Concepts of Intellectual  Proper...General Principles of Intellectual Property: Concepts of Intellectual  Proper...
General Principles of Intellectual Property: Concepts of Intellectual Proper...
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Asian American Pacific Islander Month DDSD 2024.pptx
Asian American Pacific Islander Month DDSD 2024.pptxAsian American Pacific Islander Month DDSD 2024.pptx
Asian American Pacific Islander Month DDSD 2024.pptx
 
The basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptxThe basics of sentences session 3pptx.pptx
The basics of sentences session 3pptx.pptx
 

Cadence layout Tutorial