SlideShare une entreprise Scribd logo
1  sur  25
B.VAMSI KRISHNA
ID:185
Under the guidance of
Mrs.BHAVANI,Senior faculty,IIVDT.
•An AMBA-based microcontroller typically consists of a
high-performance system backbone bus.
•Bus can sustain CPU and DMA devices
•Like Direct Memory Access (DMA) devices ,high
performance arm processors or high band width
memory interface.
•Bridge to a narrower APB bus on which the lower
bandwidth peripheral devices are located.
•The Advanced Peripheral Bus (APB) is part of the
AMBA.
•APB is optimized for minimal power consumption
and reduced interface complexity.
•The AMBA APB should be used to interface to any
peripherals which are low bandwidth and do not
require the high performance of a pipelined bus
interface.
•APB ensures that all signal transitions are only related
to the rising edge of the clock.
•This improvement means the APB peripherals can be
integrated easily into any design flow.
• State diagram
• Write transfer
• Read transfer
• Error response
• Idle:The default state for the peripheral bus.
• Setup:When a transfer is required the bus moves into the
SETUP state.
• Pselx is asserted
• The bus only remains in the SETUP state for one clock
cycle and will always move to the access state(enable is
asserted) on the next rising edge of the clock.
• Access: The address, write select, and write data signals
must remain stable during the transition from the SETUP to
ACCESS state.
• PREADY:Exit from the ACCESS state is controlled by the
PREADY signal from the slave:
• If PREADY is held LOW by the slave then the peripheral
bus remains in the ACCESS state.
• If PREADY is driven HIGH by the slave then the ACCESS
state is exited and the bus returns to the IDLE state if no
more transfers are required.
• Alternatively, the bus moves directly to the SETUP state if
another transfer follows.
• There are two types of write transfer
• With no wait states
• With wait states
With wait states With no wait
states
•With no wait states•With wait states
Read transfer Write transfer
Master Slave
• The APB bridge is the only bus master on the
AMBA APB. In addition, the APB bridge is also a
slave on the higher-level system bus.
• The bridge unit converts system bus transfers into
APB transfers and performs the following
functions:
• Latches the address and holds it valid throughout
the transfer.
• Decodes the address and generates a peripheral
select, PSELx. Only one select signal can be
active during a transfer.
• Drives the data onto the APB for a write transfer.
• Drives the APB data onto the system bus for a
read transfer.
• Generates a timing strobe, PENABLE, for the
transfer.
• APB slaves interface very simple and flexible.
• For a Write transfer the data can be latched at the
following points:
• on either rising edge of PCLK, when PSEL is HIGH
• on the rising edge of PENABLE, when PSEL is HIGH.
• The select signal PSELx, the address PADDR and
the write signal PWRITE can be combined to
determine which register should be updated by the
write operation.
• For Read transfers the data can be driven on to
the data bus when PWRITE is LOW and both PSELx
and PENABLE are HIGH. While PADDR is used to
determine which register should be read
Read Transfer TO AHB Write Transfer from AHB
• From AHB to APB PSLVERR is mapped back to
HRESP[1:0] = ERROR for both reads and writes. This is
achieved by mapping PSLVERR to the AHB signal
HRESP[1:0].
• HRESP[1:0]:OKAY,ERROR,RETRY,SRLIT.
•performance is improved at high-frequency operation.
•static timing analysis is simplified by the use of a single
clock edge.
•no special considerations are required for automatic
test insertion.
•many Application-Specific Integrated Circuit (ASIC)
libraries have a better selection of rising edge registers.
•easy integration with cycle based simulators.
AMBA_APB_pst

Contenu connexe

Tendances

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxGuckChick
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015kiemnhatminh
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coveragePushpa Yakkala
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI ExpressSubhash Iyer
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0ijsrd.com
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelDVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 

Tendances (20)

Ambha axi
Ambha axiAmbha axi
Ambha axi
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI Express
 
Amba bus
Amba busAmba bus
Amba bus
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
 
Axi
AxiAxi
Axi
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
AMBA BUS.pptx
AMBA BUS.pptxAMBA BUS.pptx
AMBA BUS.pptx
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Challenges in Using UVM at SoC Level
Challenges in Using UVM at SoC LevelChallenges in Using UVM at SoC Level
Challenges in Using UVM at SoC Level
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 

En vedette

JavaScript From Hell - CONFidence 2.0 2009
JavaScript From Hell - CONFidence 2.0 2009JavaScript From Hell - CONFidence 2.0 2009
JavaScript From Hell - CONFidence 2.0 2009Mario Heiderich
 
Apb presentation
Apb presentationApb presentation
Apb presentationEva Law
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnectA B Shinde
 
8237 dma controller
8237 dma controller8237 dma controller
8237 dma controllerTech_MX
 
The Commissioning Process
The Commissioning ProcessThe Commissioning Process
The Commissioning Processd_mackay
 

En vedette (7)

JavaScript From Hell - CONFidence 2.0 2009
JavaScript From Hell - CONFidence 2.0 2009JavaScript From Hell - CONFidence 2.0 2009
JavaScript From Hell - CONFidence 2.0 2009
 
Apb presentation
Apb presentationApb presentation
Apb presentation
 
APB
APBAPB
APB
 
axi protocol
axi protocolaxi protocol
axi protocol
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
 
8237 dma controller
8237 dma controller8237 dma controller
8237 dma controller
 
The Commissioning Process
The Commissioning ProcessThe Commissioning Process
The Commissioning Process
 

Similaire à AMBA_APB_pst

High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)HARINATH REDDY
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSICS Design
 
Ppt micro fianle (1)
Ppt micro fianle (1)Ppt micro fianle (1)
Ppt micro fianle (1)Pavni Gairola
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
 
Switched networks (LAN Switching – Switches)
Switched networks (LAN Switching – Switches)Switched networks (LAN Switching – Switches)
Switched networks (LAN Switching – Switches)Fleurati
 
DRAM Cell - Working and Read and Write Operations
DRAM Cell - Working and Read and Write OperationsDRAM Cell - Working and Read and Write Operations
DRAM Cell - Working and Read and Write OperationsNaman Bhalla
 
Arm organization and implementation
Arm organization and implementationArm organization and implementation
Arm organization and implementationShubham Singh
 
DMA controller intel 8257
DMA controller intel 8257DMA controller intel 8257
DMA controller intel 8257Daniel Ilunga
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Dhaval Kaneria
 
Load Balancing
Load BalancingLoad Balancing
Load Balancingoptalink
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocoliaemedu
 

Similaire à AMBA_APB_pst (20)

APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)High Bandwidth Memory(HBM)
High Bandwidth Memory(HBM)
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
Ppt micro fianle (1)
Ppt micro fianle (1)Ppt micro fianle (1)
Ppt micro fianle (1)
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
final
finalfinal
final
 
Switched networks (LAN Switching – Switches)
Switched networks (LAN Switching – Switches)Switched networks (LAN Switching – Switches)
Switched networks (LAN Switching – Switches)
 
Usart 8251
Usart 8251Usart 8251
Usart 8251
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
DRAM Cell - Working and Read and Write Operations
DRAM Cell - Working and Read and Write OperationsDRAM Cell - Working and Read and Write Operations
DRAM Cell - Working and Read and Write Operations
 
Arm organization and implementation
Arm organization and implementationArm organization and implementation
Arm organization and implementation
 
DMA controller intel 8257
DMA controller intel 8257DMA controller intel 8257
DMA controller intel 8257
 
8051d
8051d8051d
8051d
 
I2C introduction
I2C introductionI2C introduction
I2C introduction
 
8251 USART.pptx
8251 USART.pptx8251 USART.pptx
8251 USART.pptx
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
 
I2 c communication protocol
I2 c communication protocolI2 c communication protocol
I2 c communication protocol
 
8251 USART
8251 USART8251 USART
8251 USART
 
Load Balancing
Load BalancingLoad Balancing
Load Balancing
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 

AMBA_APB_pst

  • 1. B.VAMSI KRISHNA ID:185 Under the guidance of Mrs.BHAVANI,Senior faculty,IIVDT.
  • 2. •An AMBA-based microcontroller typically consists of a high-performance system backbone bus. •Bus can sustain CPU and DMA devices •Like Direct Memory Access (DMA) devices ,high performance arm processors or high band width memory interface. •Bridge to a narrower APB bus on which the lower bandwidth peripheral devices are located.
  • 3.
  • 4. •The Advanced Peripheral Bus (APB) is part of the AMBA. •APB is optimized for minimal power consumption and reduced interface complexity. •The AMBA APB should be used to interface to any peripherals which are low bandwidth and do not require the high performance of a pipelined bus interface.
  • 5. •APB ensures that all signal transitions are only related to the rising edge of the clock. •This improvement means the APB peripherals can be integrated easily into any design flow.
  • 6.
  • 7. • State diagram • Write transfer • Read transfer • Error response
  • 8.
  • 9. • Idle:The default state for the peripheral bus. • Setup:When a transfer is required the bus moves into the SETUP state. • Pselx is asserted • The bus only remains in the SETUP state for one clock cycle and will always move to the access state(enable is asserted) on the next rising edge of the clock. • Access: The address, write select, and write data signals must remain stable during the transition from the SETUP to ACCESS state.
  • 10. • PREADY:Exit from the ACCESS state is controlled by the PREADY signal from the slave: • If PREADY is held LOW by the slave then the peripheral bus remains in the ACCESS state. • If PREADY is driven HIGH by the slave then the ACCESS state is exited and the bus returns to the IDLE state if no more transfers are required. • Alternatively, the bus moves directly to the SETUP state if another transfer follows.
  • 11.
  • 12. • There are two types of write transfer • With no wait states • With wait states
  • 13. With wait states With no wait states
  • 14.
  • 15. •With no wait states•With wait states
  • 18. • The APB bridge is the only bus master on the AMBA APB. In addition, the APB bridge is also a slave on the higher-level system bus. • The bridge unit converts system bus transfers into APB transfers and performs the following functions: • Latches the address and holds it valid throughout the transfer.
  • 19. • Decodes the address and generates a peripheral select, PSELx. Only one select signal can be active during a transfer. • Drives the data onto the APB for a write transfer. • Drives the APB data onto the system bus for a read transfer. • Generates a timing strobe, PENABLE, for the transfer.
  • 20. • APB slaves interface very simple and flexible. • For a Write transfer the data can be latched at the following points: • on either rising edge of PCLK, when PSEL is HIGH • on the rising edge of PENABLE, when PSEL is HIGH. • The select signal PSELx, the address PADDR and the write signal PWRITE can be combined to determine which register should be updated by the write operation.
  • 21. • For Read transfers the data can be driven on to the data bus when PWRITE is LOW and both PSELx and PENABLE are HIGH. While PADDR is used to determine which register should be read
  • 22. Read Transfer TO AHB Write Transfer from AHB
  • 23. • From AHB to APB PSLVERR is mapped back to HRESP[1:0] = ERROR for both reads and writes. This is achieved by mapping PSLVERR to the AHB signal HRESP[1:0]. • HRESP[1:0]:OKAY,ERROR,RETRY,SRLIT.
  • 24. •performance is improved at high-frequency operation. •static timing analysis is simplified by the use of a single clock edge. •no special considerations are required for automatic test insertion. •many Application-Specific Integrated Circuit (ASIC) libraries have a better selection of rising edge registers. •easy integration with cycle based simulators.