SlideShare une entreprise Scribd logo
1  sur  5
ISSN: 2278 – 1323
                      International Journal of Advanced Research in Computer Engineering & Technology
                                                                          Volume 1, Issue 4, June 2012


                   Modular Design of Adders with Domino Logic 1
                                    M.B.DAMLE 2 DR S.S.LIMAYE
                                 1M.B. Damle, 2 Dr. S. S. Limaye
Abstract- Based on 180nm CMOS technology             wire length are often the deterministic
a 4 bit domino logic adder is designed for           factors. As VLSI technology moves into the
speed optimization over ripple carry adder.          deep submicron domain, the wiring problem
                                                     becomes even more significant and, in many
The adder is designed using 4 bit slice of
                                                     cases, dominates in both area and delay
carry look-ahead adder. Multiple slices are          optimization [4], [5].
may be connected in ripple carry fashion to          This paper describes how higher order
obtain higher order adders like 8, 16, 32 and        multiplier can be implemented using slices of
others. This result in considerable reduction        lower order multiplier and as an example a 64
in time as compared to nominal ripple carry          bit adder implemented using slices of 4 bits.
adder. Equations of sum, generate and                The 4 bit slice is a carry look-ahead adder
                                                     implemented using CMOS domino logic with
propagate are implemented in domino CMOS
                                                     TSMC 180 nm technology. Section II describes
logic using TSMC 180nm library to provide            the equations used to implement the 4 bit
energy optimization. A 64 bit adder designed         slice and their circuit implementation in
using 16 slices of Carry look-ahead adder            TannelTools. Section III focuses on the carry
gives latency of no more than time                   propagation chain analysis for the 64 bit
equivalent to 33 clocks with a transistor            adder and its design using 4 bit slices. The
count of 1504. Average power results are             delay analysis is also presented in this section.
                                                     Section IV shows the simulation results for the
also presented in this paper with selected
                                                     adder architecture and its blocks and
input vectors. Average power is 4.65                 conclusions are presented in the last section
microwatt                                            (V).

Keywords:     Domino       logic,    generator,             II. 4 BIT CARRY LOOK AHEAD ADDER WITH
propagator, 4-bit slice.                                               DOMINO CMOS LOGIC

               I. INTRODUCTION                            The 4 bit slice is implemented using a carry
Addition is one of the fundamental arithmetic             look-ahead adder structure that contains the
operations. It is used extensively in many VLSI           following blocks. The implementation of these
systems such as application-specific DSP                  blocks is also presented here and all are built
architectures and microprocessors. In most of             using CMOS domino logic structures.
these systems, the adder is part of the critical          A) Sum circuit that calculates
path that determines the overall performance              Sum(i) for i = 0 -63 with the given equation
of the system. Along with improved                        Adder Equations
performance of microprocessors, it is                     sum(i) = a(i) xor b(i) xor cin(i)         [Eq.1]
essential to improve the performance of the               Fig. 1 shows the schematic of the SUM circuit.
adder. Recently, a lot of adder structures                The SUM circuit is composed of two XOR
were proposed by many other authors [1], [2].             gates. The XOR gate is modified from the
Among various binary adder architectures, a               cross-coupled version by replacing the NMOS
large family of prefix adders is particularly             portion with a clock gated NMOS. In this
attractive because it is easily expressed and             circuit, the PMOS transistors receive the input
suggests very efficient implementations, i.e.             signal A, B, and Cin. The operation of this
Adders based on this formulation can be                   circuit can be divided into two phases: the
attractively fast and compact when                        IDLE PHASE and the EVALUATING PHASE. In
implemented in VLSI [3]. Among those factors              the IDLE PHASE, the clock signal CLK is ‘logic
affecting the performance, the fan-out and                1’, and the output signal SUM will be ‘logic 0’.
                                                                                                       11
                                     All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                          International Journal of Advanced Research in Computer Engineering & Technology
                                                                              Volume 1, Issue 4, June 2012




In the EVALUATING PHASE, the clock signal                           Where the generators G1, G2, G3, G4 and
CLK is ‘logic 0’, and the corresponding output                      propagators P1, P2, P3, P4 are implemented
signal SUM will be evaluated according to the                       using the following logic equations and
input signals A, B, and Cin.                                        circuits.

                                                                    C) Propagation and generation of carry across
                                                                    multiple bits provides low latency and hence,
                                                                    Propagate/Generate across multiple bits
                                                                    equations [7]

                                                                    P(0,1) = p(0) p(1)                       [Eq.7]
                                                                    G(0,1) = g(1) or p(1)g(0)                [Eq.8]
                                                                    In general, any j with i<j, j+1 < k
                                                                    c(k+1) = G(i,k) + P(i,k)c(i)              [Eq.9]
                                                                    G(i,k) = G(j+1,k) + P(j+1),G(i,j)       [Eq.10]
                                                                    P(i,k) = P(i,j) P(j+1,k)                [Eq.11]

                                                                    So, in a nutshell the equations for propagate
                    Fig. 1 Sum circuit                              and generate are given by:
B) The carry out is defined as:
                                                                    Carry Look-ahead Generate Logic
c(i+1) = ( cin(i) (a(i) or b(i)) ) or (a(i) b(i) ) [Eq.2]
For carry look ahead adder the generate and                         g0 = a0 b0                              [Eq.12]
propagate equations [7] are                                         g1 = a1 b1 + g0 (a1 or b1)              [Eq.13]
Generate g(0) = a(0) b(0)                          [Eq.3]
Propagate p(0) = a(0) + b(0)                       [Eq.4]
So the carry for the first block is given by:
c(1) = g(0) + p(0)c(0)                             [Eq.5]
and in general:
c(i+1) = g(i) + p(i)c(i)                           [Eq.6]

Hence for a 4 bit slice of carry look-ahead
adder the carry block is implemented as:




                                                                                    Fig.3 Generator block
                                                                    Carry Look-ahead Propagate Logic

                                                                    p0 = a0 + b0                            [Eq.14]
                                                                    p(0,1) = (a1+b1) (a0 + b0)              [Eq.15]




              Fig.2 Carry look ahead circuit

                                                                                                                 12
                                               All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                       International Journal of Advanced Research in Computer Engineering & Technology
                                                                           Volume 1, Issue 4, June 2012




                                                             The comparison of the designed 64 bit adder
                                                             with respect to the worst case delay is
                                                             presented henceforth.

                                                             For adding two n-bit binary numbers there are
                                                             a total of 2n inputs
                                                             Using r-input logic gates, according to
                                                             Spira’s bound t ≥ |logr 2n|
                                                             For a ripple carry adder
                                                             The worst case delay for Cn is 2n+1 and the
                                                             worst case delay for Sn-1 is 2n
                                                             Hence for a 64 bit ripple carry adder, n=64
              Fig.4 Propagator Block
                                                             and the worst case delay for Cn=129 and for
D) Finally, a 4 bit slice is created by using the            Sn-1=128.
above designed modules which is capable of
computing a 4 bit addition.                                  In this paper the carry propagates only
                                                             between the blocks of the carry look-ahead
                                                             adders and hence there are only 16
                                                             propagations. So for the designed adder,

                                                             Delay for Cn=33 and for Sn-1 delay=32.

                                                             This is 4 times faster than the worst case
                                                             delay for a ripple carry adder. Following is the
                                                             64 bit adder implementation using 4 bit slices
                                                             of carry look-ahead adder.




         Fig.5 4-bit carry look-ahead adder

This 4 bit block takes inputs of two 4 bit                              Fig. 6 64 bit domino logic adder
numbers, a carry-in and produces a 4 bit sum
                                                                     IV SIMULATION AND ANALYSIS
and carry-out. This block can be further
instantiated multiple times in order to                      The simulation is carried out in two parts, first
produce 8, 16, 32, 64 bit or any higher order                each of the blocks in section II are simulated
adder circuit. In this paper we have                         by applying appropriate vectors at the input
implemented a 64 bit adder using 16 of the                   and observing the outputs for the Boolean
above slices as presented in next section.                   equations for each block.
 III. 64 BIT ADDERS CARRY CHAIN ANALYSIS                     A) Sum circuit simulation
Using the 4 bit carry look-ahead adder
described in previous section, we have
implemented a 64 bit adder structure with
ripple carry propagation between the carry
look-ahead adders [6].

                                                                                                           13
                                        All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                         International Journal of Advanced Research in Computer Engineering & Technology
                                                                             Volume 1, Issue 4, June 2012




                                                                 Fig.10 T-Spice simulation result for propagator block
        Fig.7 T-Spice simulation result for Sum
                                                               D) The simulation result for 64 bit ripple carry
Clock input provides the synchronous                           adder designed in previous section is
operation and also is a part of the domino                     presented below for a given sample input
logic. In the above simulation inputs to sum                   vector
circuit in fig.1 are provided and the equation
Eq.1 is verified.

B) Carry-out simulation shown below verifies
Eq.6 when sample inputs are applied to circuit
in Fig.2.




                                                                   Fig.11 T-Spice simulation result for 64 bit adder

                                                               In the second part of the simulation, average
                                                               power for the design is calculated over the
                                                               transient analysis. The simulation report from
                                                               the TannerTool T-spice gives the following
  Fig.8 T-Spice simulation result for Carry look-ahead
                      adder block
                                                               result after complete simulation:
                                                               Power:
C) Simulation graphs for generate and                          avgpower = 4.6534e-006 Watts
propagate blocks are show below to verify                      Transistor Count:
equations Eq.12,13 and Eq.14,15 respectively.                  MOSFETs - 1504        MOSFET geometries – 2

                                                                                Implemented in Tanner-13,
                                                                        for low power microwatts and high
                                                                        speed in GB V. CONCLUSION

                                                                      In this paper, a higher order (64 bit)
                                                               adder is realized using multiple carry look-
                                                               ahead adders designed in CMOS domino logic.
                                                               From the analysis, it is concluded that a
                                                               balance between the performance, power
  Fig.9 T-Spice simulation result for generator block
                                                               and area can be achieved by designing the
                                                               lower order adder (bit slice, 4 bit in our case)
                                                               and then cascading multiple of these to form

                                                                                                                       14
                                          All Rights Reserved © 2012 IJARCET
ISSN: 2278 – 1323
                          International Journal of Advanced Research in Computer Engineering & Technology
                                                                              Volume 1, Issue 4, June 2012




higher order adder. A direct implementation                     Authors :
of 64 bit carry look-ahead adder would result                                   M.B.Damle, Associate Professor,
in high transistor count accounting for larger                                  RCOEM, Nagpur, M.S. from BITS
chip area and also high power. Therefore,                                       PILANI RAJASTHAN, SMIEEE,
                                                                                FIETE,CE (IE),
there are no adders that can perform the best
for all applications and designers can choose                                   Dr.S.S.Limaye, Ph.D. Electronics,
the adder that satisfy their need and                                           Principal, Zhulelal C.O.E. Nagpur.
requirements and the design presented in this                                   SMIEEE, FIETE,CE (IE),
papers provides the flexibility to achieve
requirements as per design.

                     REFERENCES
[1] R. Zimmermann and W. Fichtner, “Low-power logic
styles: CMOS versus pass-transistor logic”, IEEE J.
Solid-State Circuits, vol. 32, no. 7, pp. 1079-1090, July
1997.
[2] M. Vesterbacka, “A 14-transistor CMOS full adder
with full voltage -swing nodes”, in Proc. IEEE Workshop
Signal Processing Systems, Taipei, Taiwan, Oct. 20–22,
1999, pp. 713-722.
[3] H. T. Bui, Y. Wang, and Y. Jiang, “Design and
analysis of low-power 10-transistor full adders using
novel XOR-XNOR gates”, IEEE Trans. Circuits Syst. II,
vol. 49, no. 1, pp. 25-30, Jan. 2002.
[4] C. H. Chang, J. Gu, and M. Zhang, “A review of
0.18-μm full adder performances for tree structured
arithmetic circuits”, IEEE Trans. Very Large Scale
Integr. (VLSI) Syst., vol. 13, no. 6, pp. 686-695, June
2005.
[5] J. F. Lin, M. H. Sheu, and C. C. Ho, “A novel high-
speed and energy efficient 10-transistor full adder
design”, IEEE Trans. Circuits and Syst. I, vol. 54, no. 5,
pp. 1050-1059, May 2007.
[6] Gin Yee, Carl Sechen. “ Clock delayed domino for
adder and combinational logic design”.
[7] Lecture notes on VLSI systems by Prof. Robert
Reese, ECE dept. Mississippi State university.

[8] R.P.P. Singh, Parveen Kumar and Balwinder Singh,
“Performance Analysis of Fast Adders Using VHDL”,
IEEE International Conference on Advances in Recent
Technologies in Communication and Computing, 189-
193,2009.

[9] B.Ramkumar and Harish M.Kittur, “Low Power and
Area Efficient Carry Select Adder”, IEEE Transactions
on Very Large Scale Integration (VLSI) systems,
accepted for publication DOI:10.1109/ TVLSI.
2010.2101621.




                                                                                                               15
                                           All Rights Reserved © 2012 IJARCET

Contenu connexe

Tendances

High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logic
iaemedu
 
All Pair Shortest Path Algorithm – Parallel Implementation and Analysis
All Pair Shortest Path Algorithm – Parallel Implementation and AnalysisAll Pair Shortest Path Algorithm – Parallel Implementation and Analysis
All Pair Shortest Path Algorithm – Parallel Implementation and Analysis
Inderjeet Singh
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd Iaetsd
 
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
Marisa Paryasto
 
International Journal of Image Processing (IJIP) Volume (3) Issue (4)
International Journal of Image Processing (IJIP) Volume (3) Issue (4)International Journal of Image Processing (IJIP) Volume (3) Issue (4)
International Journal of Image Processing (IJIP) Volume (3) Issue (4)
CSCJournals
 
論文紹介 Fast imagetagging
論文紹介 Fast imagetagging論文紹介 Fast imagetagging
論文紹介 Fast imagetagging
Takashi Abe
 
Pilot induced cyclostationarity based method for dvb system identification
Pilot induced cyclostationarity based method for dvb system identificationPilot induced cyclostationarity based method for dvb system identification
Pilot induced cyclostationarity based method for dvb system identification
iaemedu
 

Tendances (17)

High performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logicHigh performance parallel prefix adders with fast carry chain logic
High performance parallel prefix adders with fast carry chain logic
 
All Pair Shortest Path Algorithm – Parallel Implementation and Analysis
All Pair Shortest Path Algorithm – Parallel Implementation and AnalysisAll Pair Shortest Path Algorithm – Parallel Implementation and Analysis
All Pair Shortest Path Algorithm – Parallel Implementation and Analysis
 
A comparative study of different multiplier designs
A comparative study of different multiplier designsA comparative study of different multiplier designs
A comparative study of different multiplier designs
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fft
 
Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...Performance analysis of transmission of 5 users based on model b using gf (5)...
Performance analysis of transmission of 5 users based on model b using gf (5)...
 
Three Band EQ Design Report
Three Band EQ Design ReportThree Band EQ Design Report
Three Band EQ Design Report
 
D0511924
D0511924D0511924
D0511924
 
Range Extended Second Order Digital Phase Locked Loop
Range Extended Second Order Digital Phase Locked LoopRange Extended Second Order Digital Phase Locked Loop
Range Extended Second Order Digital Phase Locked Loop
 
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
Iceei2011 marisa br_fajar_intan_kuspriyanto revision 1
 
International Journal of Image Processing (IJIP) Volume (3) Issue (4)
International Journal of Image Processing (IJIP) Volume (3) Issue (4)International Journal of Image Processing (IJIP) Volume (3) Issue (4)
International Journal of Image Processing (IJIP) Volume (3) Issue (4)
 
FPGA based BCH Decoder
FPGA based BCH DecoderFPGA based BCH Decoder
FPGA based BCH Decoder
 
論文紹介 Fast imagetagging
論文紹介 Fast imagetagging論文紹介 Fast imagetagging
論文紹介 Fast imagetagging
 
Presentation of 'Reliable Rate-Optimized Video Multicasting Services over LTE...
Presentation of 'Reliable Rate-Optimized Video Multicasting Services over LTE...Presentation of 'Reliable Rate-Optimized Video Multicasting Services over LTE...
Presentation of 'Reliable Rate-Optimized Video Multicasting Services over LTE...
 
Pilot induced cyclostationarity based method for dvb system identification
Pilot induced cyclostationarity based method for dvb system identificationPilot induced cyclostationarity based method for dvb system identification
Pilot induced cyclostationarity based method for dvb system identification
 
104 108
104 108104 108
104 108
 
FPGA Implementation of CORDIC Algorithm Architecture
FPGA Implementation of CORDIC Algorithm ArchitectureFPGA Implementation of CORDIC Algorithm Architecture
FPGA Implementation of CORDIC Algorithm Architecture
 
paper573
paper573paper573
paper573
 

En vedette (7)

285 288
285 288285 288
285 288
 
119 125
119 125119 125
119 125
 
111 118
111 118111 118
111 118
 
131 133
131 133131 133
131 133
 
105 108
105 108105 108
105 108
 
51 54
51 5451 54
51 54
 
95 101
95 10195 101
95 101
 

Similaire à 6 10

NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688
Kunjan Shinde
 
kunjan elsevier paper
kunjan elsevier paperkunjan elsevier paper
kunjan elsevier paper
Kunjan Shinde
 
kunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adderkunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adder
Kunjan Shinde
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd Iaetsd
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
IOSRJVSP
 

Similaire à 6 10 (20)

Comparison among Different Adders
Comparison among Different Adders Comparison among Different Adders
Comparison among Different Adders
 
NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688NCRTS'14-IJERT-683-688
NCRTS'14-IJERT-683-688
 
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
Modeling design and_performance_analysis_of_various_8_bit_adders_for_embedded...
 
kunjan elsevier paper
kunjan elsevier paperkunjan elsevier paper
kunjan elsevier paper
 
Designing of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion InputDesigning of Adders and Vedic Multiplier using Gate Diffusion Input
Designing of Adders and Vedic Multiplier using Gate Diffusion Input
 
Design of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix AddersDesign of 32 bit Parallel Prefix Adders
Design of 32 bit Parallel Prefix Adders
 
R04605106110
R04605106110R04605106110
R04605106110
 
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
FPGA Implementation of Pipelined CORDIC Sine Cosine Digital Wave Generator
 
Gq3511781181
Gq3511781181Gq3511781181
Gq3511781181
 
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
High Speed and Time Efficient 1-D DWT on Xilinx Virtex4 DWT Using 9/7 Filter ...
 
A High performance unified BCD adder/Subtractor
A High performance unified BCD adder/SubtractorA High performance unified BCD adder/Subtractor
A High performance unified BCD adder/Subtractor
 
kunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adderkunjan ieee paper 1 bit full adder
kunjan ieee paper 1 bit full adder
 
I43024751
I43024751I43024751
I43024751
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAAVLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
VLSI Implementation of 32-Bit Unsigned Multiplier Using CSLA & CLAA
 
POWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDERPOWER EFFICIENT CARRY PROPAGATE ADDER
POWER EFFICIENT CARRY PROPAGATE ADDER
 
11 15
11 1511 15
11 15
 
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
Iaetsd implementation of power efficient iterative logarithmic multiplier usi...
 
4 bit manchester_adder
4 bit manchester_adder4 bit manchester_adder
4 bit manchester_adder
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 

Plus de Editor IJARCET

Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
Editor IJARCET
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
Editor IJARCET
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
Editor IJARCET
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
Editor IJARCET
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
Editor IJARCET
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
Editor IJARCET
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
Editor IJARCET
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
Editor IJARCET
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
Editor IJARCET
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
Editor IJARCET
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
Editor IJARCET
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
Editor IJARCET
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
Editor IJARCET
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
Editor IJARCET
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
Editor IJARCET
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
Editor IJARCET
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
Editor IJARCET
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
Editor IJARCET
 

Plus de Editor IJARCET (20)

Electrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturizationElectrically small antennas: The art of miniaturization
Electrically small antennas: The art of miniaturization
 
Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207Volume 2-issue-6-2205-2207
Volume 2-issue-6-2205-2207
 
Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199Volume 2-issue-6-2195-2199
Volume 2-issue-6-2195-2199
 
Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204Volume 2-issue-6-2200-2204
Volume 2-issue-6-2200-2204
 
Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194Volume 2-issue-6-2190-2194
Volume 2-issue-6-2190-2194
 
Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189Volume 2-issue-6-2186-2189
Volume 2-issue-6-2186-2189
 
Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185Volume 2-issue-6-2177-2185
Volume 2-issue-6-2177-2185
 
Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176Volume 2-issue-6-2173-2176
Volume 2-issue-6-2173-2176
 
Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172Volume 2-issue-6-2165-2172
Volume 2-issue-6-2165-2172
 
Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164Volume 2-issue-6-2159-2164
Volume 2-issue-6-2159-2164
 
Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158Volume 2-issue-6-2155-2158
Volume 2-issue-6-2155-2158
 
Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154Volume 2-issue-6-2148-2154
Volume 2-issue-6-2148-2154
 
Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147Volume 2-issue-6-2143-2147
Volume 2-issue-6-2143-2147
 
Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124Volume 2-issue-6-2119-2124
Volume 2-issue-6-2119-2124
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138Volume 2-issue-6-2130-2138
Volume 2-issue-6-2130-2138
 
Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129Volume 2-issue-6-2125-2129
Volume 2-issue-6-2125-2129
 
Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118Volume 2-issue-6-2114-2118
Volume 2-issue-6-2114-2118
 
Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113Volume 2-issue-6-2108-2113
Volume 2-issue-6-2108-2113
 
Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107Volume 2-issue-6-2102-2107
Volume 2-issue-6-2102-2107
 

Dernier

Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Victor Rentea
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Victor Rentea
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 

Dernier (20)

Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Cyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdfCyberprint. Dark Pink Apt Group [EN].pdf
Cyberprint. Dark Pink Apt Group [EN].pdf
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 

6 10

  • 1. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 Modular Design of Adders with Domino Logic 1 M.B.DAMLE 2 DR S.S.LIMAYE 1M.B. Damle, 2 Dr. S. S. Limaye Abstract- Based on 180nm CMOS technology wire length are often the deterministic a 4 bit domino logic adder is designed for factors. As VLSI technology moves into the speed optimization over ripple carry adder. deep submicron domain, the wiring problem becomes even more significant and, in many The adder is designed using 4 bit slice of cases, dominates in both area and delay carry look-ahead adder. Multiple slices are optimization [4], [5]. may be connected in ripple carry fashion to This paper describes how higher order obtain higher order adders like 8, 16, 32 and multiplier can be implemented using slices of others. This result in considerable reduction lower order multiplier and as an example a 64 in time as compared to nominal ripple carry bit adder implemented using slices of 4 bits. adder. Equations of sum, generate and The 4 bit slice is a carry look-ahead adder implemented using CMOS domino logic with propagate are implemented in domino CMOS TSMC 180 nm technology. Section II describes logic using TSMC 180nm library to provide the equations used to implement the 4 bit energy optimization. A 64 bit adder designed slice and their circuit implementation in using 16 slices of Carry look-ahead adder TannelTools. Section III focuses on the carry gives latency of no more than time propagation chain analysis for the 64 bit equivalent to 33 clocks with a transistor adder and its design using 4 bit slices. The count of 1504. Average power results are delay analysis is also presented in this section. Section IV shows the simulation results for the also presented in this paper with selected adder architecture and its blocks and input vectors. Average power is 4.65 conclusions are presented in the last section microwatt (V). Keywords: Domino logic, generator, II. 4 BIT CARRY LOOK AHEAD ADDER WITH propagator, 4-bit slice. DOMINO CMOS LOGIC I. INTRODUCTION The 4 bit slice is implemented using a carry Addition is one of the fundamental arithmetic look-ahead adder structure that contains the operations. It is used extensively in many VLSI following blocks. The implementation of these systems such as application-specific DSP blocks is also presented here and all are built architectures and microprocessors. In most of using CMOS domino logic structures. these systems, the adder is part of the critical A) Sum circuit that calculates path that determines the overall performance Sum(i) for i = 0 -63 with the given equation of the system. Along with improved Adder Equations performance of microprocessors, it is sum(i) = a(i) xor b(i) xor cin(i) [Eq.1] essential to improve the performance of the Fig. 1 shows the schematic of the SUM circuit. adder. Recently, a lot of adder structures The SUM circuit is composed of two XOR were proposed by many other authors [1], [2]. gates. The XOR gate is modified from the Among various binary adder architectures, a cross-coupled version by replacing the NMOS large family of prefix adders is particularly portion with a clock gated NMOS. In this attractive because it is easily expressed and circuit, the PMOS transistors receive the input suggests very efficient implementations, i.e. signal A, B, and Cin. The operation of this Adders based on this formulation can be circuit can be divided into two phases: the attractively fast and compact when IDLE PHASE and the EVALUATING PHASE. In implemented in VLSI [3]. Among those factors the IDLE PHASE, the clock signal CLK is ‘logic affecting the performance, the fan-out and 1’, and the output signal SUM will be ‘logic 0’. 11 All Rights Reserved © 2012 IJARCET
  • 2. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 In the EVALUATING PHASE, the clock signal Where the generators G1, G2, G3, G4 and CLK is ‘logic 0’, and the corresponding output propagators P1, P2, P3, P4 are implemented signal SUM will be evaluated according to the using the following logic equations and input signals A, B, and Cin. circuits. C) Propagation and generation of carry across multiple bits provides low latency and hence, Propagate/Generate across multiple bits equations [7] P(0,1) = p(0) p(1) [Eq.7] G(0,1) = g(1) or p(1)g(0) [Eq.8] In general, any j with i<j, j+1 < k c(k+1) = G(i,k) + P(i,k)c(i) [Eq.9] G(i,k) = G(j+1,k) + P(j+1),G(i,j) [Eq.10] P(i,k) = P(i,j) P(j+1,k) [Eq.11] So, in a nutshell the equations for propagate Fig. 1 Sum circuit and generate are given by: B) The carry out is defined as: Carry Look-ahead Generate Logic c(i+1) = ( cin(i) (a(i) or b(i)) ) or (a(i) b(i) ) [Eq.2] For carry look ahead adder the generate and g0 = a0 b0 [Eq.12] propagate equations [7] are g1 = a1 b1 + g0 (a1 or b1) [Eq.13] Generate g(0) = a(0) b(0) [Eq.3] Propagate p(0) = a(0) + b(0) [Eq.4] So the carry for the first block is given by: c(1) = g(0) + p(0)c(0) [Eq.5] and in general: c(i+1) = g(i) + p(i)c(i) [Eq.6] Hence for a 4 bit slice of carry look-ahead adder the carry block is implemented as: Fig.3 Generator block Carry Look-ahead Propagate Logic p0 = a0 + b0 [Eq.14] p(0,1) = (a1+b1) (a0 + b0) [Eq.15] Fig.2 Carry look ahead circuit 12 All Rights Reserved © 2012 IJARCET
  • 3. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 The comparison of the designed 64 bit adder with respect to the worst case delay is presented henceforth. For adding two n-bit binary numbers there are a total of 2n inputs Using r-input logic gates, according to Spira’s bound t ≥ |logr 2n| For a ripple carry adder The worst case delay for Cn is 2n+1 and the worst case delay for Sn-1 is 2n Hence for a 64 bit ripple carry adder, n=64 Fig.4 Propagator Block and the worst case delay for Cn=129 and for D) Finally, a 4 bit slice is created by using the Sn-1=128. above designed modules which is capable of computing a 4 bit addition. In this paper the carry propagates only between the blocks of the carry look-ahead adders and hence there are only 16 propagations. So for the designed adder, Delay for Cn=33 and for Sn-1 delay=32. This is 4 times faster than the worst case delay for a ripple carry adder. Following is the 64 bit adder implementation using 4 bit slices of carry look-ahead adder. Fig.5 4-bit carry look-ahead adder This 4 bit block takes inputs of two 4 bit Fig. 6 64 bit domino logic adder numbers, a carry-in and produces a 4 bit sum IV SIMULATION AND ANALYSIS and carry-out. This block can be further instantiated multiple times in order to The simulation is carried out in two parts, first produce 8, 16, 32, 64 bit or any higher order each of the blocks in section II are simulated adder circuit. In this paper we have by applying appropriate vectors at the input implemented a 64 bit adder using 16 of the and observing the outputs for the Boolean above slices as presented in next section. equations for each block. III. 64 BIT ADDERS CARRY CHAIN ANALYSIS A) Sum circuit simulation Using the 4 bit carry look-ahead adder described in previous section, we have implemented a 64 bit adder structure with ripple carry propagation between the carry look-ahead adders [6]. 13 All Rights Reserved © 2012 IJARCET
  • 4. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 Fig.10 T-Spice simulation result for propagator block Fig.7 T-Spice simulation result for Sum D) The simulation result for 64 bit ripple carry Clock input provides the synchronous adder designed in previous section is operation and also is a part of the domino presented below for a given sample input logic. In the above simulation inputs to sum vector circuit in fig.1 are provided and the equation Eq.1 is verified. B) Carry-out simulation shown below verifies Eq.6 when sample inputs are applied to circuit in Fig.2. Fig.11 T-Spice simulation result for 64 bit adder In the second part of the simulation, average power for the design is calculated over the transient analysis. The simulation report from the TannerTool T-spice gives the following Fig.8 T-Spice simulation result for Carry look-ahead adder block result after complete simulation: Power: C) Simulation graphs for generate and avgpower = 4.6534e-006 Watts propagate blocks are show below to verify Transistor Count: equations Eq.12,13 and Eq.14,15 respectively. MOSFETs - 1504 MOSFET geometries – 2 Implemented in Tanner-13, for low power microwatts and high speed in GB V. CONCLUSION In this paper, a higher order (64 bit) adder is realized using multiple carry look- ahead adders designed in CMOS domino logic. From the analysis, it is concluded that a balance between the performance, power Fig.9 T-Spice simulation result for generator block and area can be achieved by designing the lower order adder (bit slice, 4 bit in our case) and then cascading multiple of these to form 14 All Rights Reserved © 2012 IJARCET
  • 5. ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology Volume 1, Issue 4, June 2012 higher order adder. A direct implementation Authors : of 64 bit carry look-ahead adder would result M.B.Damle, Associate Professor, in high transistor count accounting for larger RCOEM, Nagpur, M.S. from BITS chip area and also high power. Therefore, PILANI RAJASTHAN, SMIEEE, FIETE,CE (IE), there are no adders that can perform the best for all applications and designers can choose Dr.S.S.Limaye, Ph.D. Electronics, the adder that satisfy their need and Principal, Zhulelal C.O.E. Nagpur. requirements and the design presented in this SMIEEE, FIETE,CE (IE), papers provides the flexibility to achieve requirements as per design. REFERENCES [1] R. Zimmermann and W. Fichtner, “Low-power logic styles: CMOS versus pass-transistor logic”, IEEE J. Solid-State Circuits, vol. 32, no. 7, pp. 1079-1090, July 1997. [2] M. Vesterbacka, “A 14-transistor CMOS full adder with full voltage -swing nodes”, in Proc. IEEE Workshop Signal Processing Systems, Taipei, Taiwan, Oct. 20–22, 1999, pp. 713-722. [3] H. T. Bui, Y. Wang, and Y. Jiang, “Design and analysis of low-power 10-transistor full adders using novel XOR-XNOR gates”, IEEE Trans. Circuits Syst. II, vol. 49, no. 1, pp. 25-30, Jan. 2002. [4] C. H. Chang, J. Gu, and M. Zhang, “A review of 0.18-μm full adder performances for tree structured arithmetic circuits”, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 6, pp. 686-695, June 2005. [5] J. F. Lin, M. H. Sheu, and C. C. Ho, “A novel high- speed and energy efficient 10-transistor full adder design”, IEEE Trans. Circuits and Syst. I, vol. 54, no. 5, pp. 1050-1059, May 2007. [6] Gin Yee, Carl Sechen. “ Clock delayed domino for adder and combinational logic design”. [7] Lecture notes on VLSI systems by Prof. Robert Reese, ECE dept. Mississippi State university. [8] R.P.P. Singh, Parveen Kumar and Balwinder Singh, “Performance Analysis of Fast Adders Using VHDL”, IEEE International Conference on Advances in Recent Technologies in Communication and Computing, 189- 193,2009. [9] B.Ramkumar and Harish M.Kittur, “Low Power and Area Efficient Carry Select Adder”, IEEE Transactions on Very Large Scale Integration (VLSI) systems, accepted for publication DOI:10.1109/ TVLSI. 2010.2101621. 15 All Rights Reserved © 2012 IJARCET