SlideShare une entreprise Scribd logo
1  sur  25
Télécharger pour lire hors ligne
© Copyright 2020 Xilinx
VitisによるFPGAアクセラレータ開発と
Amazon EC2 F1デモ
ザイリンクス株式会社
データセンターグループ
ストラテジックアプリケーションエンジニア
安藤 潤
2020/7/29
© Copyright 2020 Xilinx
アジェンダ
2
 Vitisとは?
 Vitisによる開発の流れ
 F1インスタンスで体験してみよう(デモ)
© Copyright 2020 Xilinx
Vitisとは?
3
© Copyright 2020 Xilinx
エッジからクラウドまでを対象とするFPGA開発プラットフォーム
4
ビルド
デプロイ
Zynq-7000
Zynq UltraScale+ MPSoC Alveo Versal ACAPs
組み込み開発者 アプリケーション開発者 インフラ開発者 データサイエンティスト
クラウド
本日ご説明する対象
無償!
© Copyright 2020 Xilinx
FPGA
従来のFPGAアクセラレータ開発
5
カーネル
MC制御
DMA
PCIe I/F
ホスト
アプリケーション
DMAドライバ
Linux
ユーザー空間 API
データ転送
カーネル実行制御
並列制御
リソースマネジメント
DMA制御
パーシャルリコンフィグ
Flash書き換え
カード監視
クロック/リセット設計
各IP周り設計
コントローラ
AXIバス設計
レジスタマップ
パーシャルリコンフィグ
マイコンとの通信
シミュレーション
合成、配置配線
タイミング収束
アクセラレータの実現までに膨大な開発工数が必要!
© Copyright 2020 Xilinx
FPGA
VitisによるFPGAアクセラレータ開発
6
ホスト
Linux
Vitisが提供するプラットフォームを活用し
アクセラレータの本質的な開発に注力できる!
アクセラレータを効率的に
動かすための仕組みを
OpenCL規格に沿って提供
(オープンソース)
カード管理のためのツール群
検証済みのデザインを提供
DMA
PCIe I/FXRTドライバ
OpenCL Runtime API
カーネル
MC制御
アプリケーション ユーザー設計
自動生成
プラットフォーム
カーネルに応じて
つなぎの回路を自動生成
© Copyright 2020 Xilinx
Vitis 統合ソフトウェアプラットフォーム
7
XRT(ランタイムライブラリ)
Vitisターゲットプラットフォーム
ドメイン特化型
開発環境
Vitis開発ツール
Vitisライブラリ 画像処理 線形代数
Vitis AI Vitisビデオ
パートナー
ソリューション
数理ファイナンス
アナライザ デバッガコンパイラ
エッジ オンプレ クラウド
© Copyright 2020 Xilinx
Vitisターゲットプラットフォーム
※PCIeアクセラレータカードの場合
8
 ターゲットプラットフォーム
 スタティック領域
 PCIeインターフェース、XDMA
 コンフィギュレーションおよび
ライフサイクル管理
 ダイナミック領域
 ユーザーカーネル
 AXIインターコネクト
 DDRメモリコントローラ
 デバッグロジック
 XRT
 FPGAイメージのダウンロード
 ホストとデバイス間のデータ転送
 カーネル実行管理
 デバッグ、プロファイル
 カード管理
FPGA
スタティック領域
ダイナミック領域
ドライバ
XRT Core Library
OpenCL Runtime API
ツール・
ユーティリティ
XRT
GitHub: https://github.com/Xilinx/XRT
© Copyright 2020 Xilinx
Vitisによる開発の流れ
9
© Copyright 2020 Xilinx
Vitis統合ソフトウェアプラットフォーム
10
XRT(ランタイムライブラリ)
Vitisターゲットプラットフォーム
Vitis開発ツール
Vitisライブラリ 画像処理 線形代数
Vitis AI Vitisビデオ
パートナー
ソリューション
数理ファイナンス
アナライザ デバッガコンパイラ
エッジ オンプレ クラウド
ドメイン特化型
開発環境
© Copyright 2020 Xilinx
アクセラレータ開発の流れ
11
カーネル設計アプリケーションのプロファイル、
オフロード対象の切り出し
ビルド・デバッグ・検証・解析を
繰り返し、目標性能達成
デプロイ
ランタイム
アプリケーション&
FPGAイメージ
1 2
3 4
C++
© Copyright 2020 Xilinx
カーネル設計方法
12
Vivado
高位合成
(HLS)
RTL
21
Vitis
ライブラリ
3
© Copyright 2020 Xilinx
① 高位合成(HLS)でカーネルを設計
13
 HLS: High Level Synthesis
 C++ による高抽象度記述
 高い設計生産性 !
 短く簡潔なコード
 最適化済みライブラリ
 高速なCシミュレーション
 生成されたRTLの自動シミュレーション
高位合成
RTL検証の自動化
デザインステップ
• Cシミュレーション
• C合成
• 協調シミュレーション
RTL IP
最適化
ライブラリ
コンパイラ
指示子
C++
© Copyright 2020 Xilinx
f(int in[20], int out[20]) {
int a,b,c,x,y;
for(int i = 0; i < 20; i++) {
x = in[i]; y = a*x + b + c; out[i] = y;}
f(int in[20], int out[20]) {
int a,b,c,x,y;
for(int i = 0; i < 20; i++) {
x = in[i]; y = a*x + b + c; out[i] = y;
}
a
Adapter
- AXI
- FIFO
- RAM
Adapter
- AXI
- FIFO
- RAM
制御論理– FSM
i
v v v
x
b
c
y
v
v
v
v
v
v
v
アクセラレータを簡単なコードで記述
14
HLSが回路のインターフェースと制御論理を自動で実装
© Copyright 2020 Xilinx
FPGAの特長を活かした性能の最適化
15
演算の
カスタマイズ
• マイクロアーキテクチャ
• 並列処理
メモリの
適応
• オンチップメモリ
• シフトレジスタ
データ型の
最適化
• 演算精度を調整
© Copyright 2020 Xilinx
② RTL設計資産を活用
16
 RTLデザインを 2 ステップで統合
 ステップ 1: RTLコードをVivado IPとしてパッケージ
 ステップ 2: Xilinx Objectファイル (.xo) にパッケージ
 オプション: Cモデルがあれば高速なシミュレーションが可能
 RTL Kernel Wizardによる自動生成
 VitisやVivadoのGUIからウィザードを起動
 ウィザードによりステップ1と2を自動で実行
RTL IP
Xilinx Object
(.xo)
© Copyright 2020 Xilinx
③ Vitisライブラリを利用
17
最適化済みの500以上の関数を提供
ビジョン・画像 数理ファイナンス データ解析・
データベース
データ圧縮 セキュリティ
数学 線形代数 統計 信号処理 ユーティリティ
ドメイン特化ライブラリ
共通ライブラリ
© Copyright 2020 Xilinx
3つの抽象レベルで提供
Leverage as-is, Modify or Combine with Custom Code
18
ターゲット
プラットフォーム
XRT(ランタイムライブラリ)
L3 Host code
L1
L2
L1
L1 L1
L3 オーバーレイ
• アプリケーションから利用で
きるソフトウェアAPI
• ビルド済みFPGAイメージ
• カスタマイズ、再ビルド
• 最適化済みのカーネル
• 必要に応じてカスタマイズしVitis
開発ツールでビルド
• データ転送や起動はXRTで管理
• カーネル設計のための基本的
なHLS関数
• カスタマイズしたり、他のプ
リミティブやカーネルと組み
合わせる
• Vitis開発ツールでビルドが必要
ソフトウェアAPI (Level 3)
カーネル (Level 2)
プリミティブ (Level 1)
© Copyright 2020 Xilinx
オープンソースで誰でも利用可能
Access Everything You Need to Get Started
19
幅広いドキュメント
抽象レベルごとの
ディレクトリ構造
ソースコード
Apache 2.0 License
ベンチマーク
サンプルコード、
テストケース
https://github.com/Xilinx/Vitis_Libraries
© Copyright 2020 Xilinx
コンパイルとリンク
20
RTL IPs C++ HLS Vitisライブラリ
Xilinx Object
(.xo)
RTL Kernel
Wizard
Vitis Compiler
(v++)
Xilinx Object
(.xo)
Vitis Compiler
(v++)
Xilinx Object
(.xo)
Compiled
Accelerator
Vitis Linker (V++)
Vitis Target
Platform
カーネル
オブジェクト
FPGAイメージ
コンパイル
リンク
© Copyright 2020 Xilinx
アクセラレータ開発フローのまとめ
21
コンパイラ・リンカ
アクセラレータ
RTL
アプリケーション
開発
Deployed Application
(On-Premise, Cloud)
Application Code
GCC/G++
Vitis開発ツール
C++
Executable
Compiled Accelerators
高速化
ライブラリ
PCIe Express
Xilinx Runtime
Deployed
Accelerators
Xilinx Runtime User API
エミュレーション
解析
デバッグ
X86 Host Xilinx Accelerator Card
© Copyright 2020 Xilinx
F1インスタンスで体験してみよう
22
© Copyright 2020 Xilinx
デモの流れ
23
1. F1インスタンスを作成する
2. Vitisをセットアップする
3. Vitisライブラリのアプリを動かしてみる
https://www.acri.c.titech.ac.jp/wordpress/archives/377
ACRiブログのチュートリアルに沿ってF1インスタンスを動かすまでの手順を見ていきます
© Copyright 2020 Xilinx
デモ
24
YouTube: F1インスタンスでFPGAアクセラレータを体験してみよう
© Copyright 2020 Xilinx
Thank You

Contenu connexe

Tendances

RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29Takefumi MIYOSHI
 
加藤先生ご講演資料_20210824
加藤先生ご講演資料_20210824加藤先生ご講演資料_20210824
加藤先生ご講演資料_20210824直久 住川
 
CYDASアジャイル開発状況報告LT
CYDASアジャイル開発状況報告LTCYDASアジャイル開発状況報告LT
CYDASアジャイル開発状況報告LT真吾 吉田
 
ACRi_webinar-Nov2021_agenda_20211102
ACRi_webinar-Nov2021_agenda_20211102ACRi_webinar-Nov2021_agenda_20211102
ACRi_webinar-Nov2021_agenda_20211102直久 住川
 
そろそろLambda(CI/CD編)
そろそろLambda(CI/CD編)そろそろLambda(CI/CD編)
そろそろLambda(CI/CD編)輝 子安
 
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナー
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナーOpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナー
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナーVirtualTech Japan Inc.
 
Kubernetesのない世界 すべてがサーバーレスになる
Kubernetesのない世界 すべてがサーバーレスになるKubernetesのない世界 すべてがサーバーレスになる
Kubernetesのない世界 すべてがサーバーレスになる真吾 吉田
 
CyberAgentのインフラについて メディア事業編 #catechchallenge
CyberAgentのインフラについて メディア事業編 #catechchallengeCyberAgentのインフラについて メディア事業編 #catechchallenge
CyberAgentのインフラについて メディア事業編 #catechchallengewhywaita
 
20200708 bydstudy miyazaki
20200708 bydstudy miyazaki20200708 bydstudy miyazaki
20200708 bydstudy miyazakibeyond Co., Ltd.
 
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmalt
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmaltJapan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmalt
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmaltcapsmalt
 
Storylineでデザインする心地よい会話体験
Storylineでデザインする心地よい会話体験Storylineでデザインする心地よい会話体験
Storylineでデザインする心地よい会話体験真吾 吉田
 
C# における Redis 徹底活用
C# における Redis 徹底活用C# における Redis 徹底活用
C# における Redis 徹底活用Takaaki Suzuki
 
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減gree_tech
 
HashiCorp Terraform Enterprise 紹介
HashiCorp Terraform Enterprise 紹介HashiCorp Terraform Enterprise 紹介
HashiCorp Terraform Enterprise 紹介hashicorpjp
 
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月VirtualTech Japan Inc.
 
Sumo logic meetup 2020 jan
Sumo logic meetup 2020 janSumo logic meetup 2020 jan
Sumo logic meetup 2020 janMasatomo Ito
 
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方ITコンサルタントが語る!OpenStackを活用した課題解決のやり方
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方VirtualTech Japan Inc.
 

Tendances (20)

20200806 4 acri room how_to_use demo
20200806 4 acri room how_to_use demo20200806 4 acri room how_to_use demo
20200806 4 acri room how_to_use demo
 
RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29RISC-V introduction for SIG SDR in CQ 2019.07.29
RISC-V introduction for SIG SDR in CQ 2019.07.29
 
加藤先生ご講演資料_20210824
加藤先生ご講演資料_20210824加藤先生ご講演資料_20210824
加藤先生ご講演資料_20210824
 
CYDASアジャイル開発状況報告LT
CYDASアジャイル開発状況報告LTCYDASアジャイル開発状況報告LT
CYDASアジャイル開発状況報告LT
 
ACRi_webinar-Nov2021_agenda_20211102
ACRi_webinar-Nov2021_agenda_20211102ACRi_webinar-Nov2021_agenda_20211102
ACRi_webinar-Nov2021_agenda_20211102
 
そろそろLambda(CI/CD編)
そろそろLambda(CI/CD編)そろそろLambda(CI/CD編)
そろそろLambda(CI/CD編)
 
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナー
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナーOpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナー
OpenStack Summit Sydney Report (NEC鳥居) - OpenStack最新情報セミナー
 
Kubernetesのない世界 すべてがサーバーレスになる
Kubernetesのない世界 すべてがサーバーレスになるKubernetesのない世界 すべてがサーバーレスになる
Kubernetesのない世界 すべてがサーバーレスになる
 
CyberAgentのインフラについて メディア事業編 #catechchallenge
CyberAgentのインフラについて メディア事業編 #catechchallengeCyberAgentのインフラについて メディア事業編 #catechchallenge
CyberAgentのインフラについて メディア事業編 #catechchallenge
 
Contiv
ContivContiv
Contiv
 
Keynote
KeynoteKeynote
Keynote
 
20200708 bydstudy miyazaki
20200708 bydstudy miyazaki20200708 bydstudy miyazaki
20200708 bydstudy miyazaki
 
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmalt
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmaltJapan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmalt
Japan Container Days: 「今こそKubernetes。最高の仕事道具で使いこなそう」by capsmalt
 
Storylineでデザインする心地よい会話体験
Storylineでデザインする心地よい会話体験Storylineでデザインする心地よい会話体験
Storylineでデザインする心地よい会話体験
 
C# における Redis 徹底活用
C# における Redis 徹底活用C# における Redis 徹底活用
C# における Redis 徹底活用
 
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減
AWS re:invent振り返りServerlessでサーバコスト以外もいろいろ削減
 
HashiCorp Terraform Enterprise 紹介
HashiCorp Terraform Enterprise 紹介HashiCorp Terraform Enterprise 紹介
HashiCorp Terraform Enterprise 紹介
 
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
 
Sumo logic meetup 2020 jan
Sumo logic meetup 2020 janSumo logic meetup 2020 jan
Sumo logic meetup 2020 jan
 
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方ITコンサルタントが語る!OpenStackを活用した課題解決のやり方
ITコンサルタントが語る!OpenStackを活用した課題解決のやり方
 

Similaire à Vitisのご紹介とAmazon EC2 F1体験デモ

ACRi panel_discussion_xilinx_hayashida_rev1.0
ACRi panel_discussion_xilinx_hayashida_rev1.0ACRi panel_discussion_xilinx_hayashida_rev1.0
ACRi panel_discussion_xilinx_hayashida_rev1.0直久 住川
 
CMD2021 f01 xilinx_20210921_r1.1
CMD2021 f01 xilinx_20210921_r1.1CMD2021 f01 xilinx_20210921_r1.1
CMD2021 f01 xilinx_20210921_r1.1Yoshihiro Horie
 
20170804 IOS/IOS-XE運用管理機能アップデート
20170804 IOS/IOS-XE運用管理機能アップデート20170804 IOS/IOS-XE運用管理機能アップデート
20170804 IOS/IOS-XE運用管理機能アップデートKazumasa Ikuta
 
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界Brocade
 
フロー技術によるネットワーク管理
フロー技術によるネットワーク管理フロー技術によるネットワーク管理
フロー技術によるネットワーク管理Motonori Shindo
 
Mk vpp for-containers-vppug
Mk vpp for-containers-vppugMk vpp for-containers-vppug
Mk vpp for-containers-vppugMiya Kohno
 
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...オラクルエンジニア通信
 
Dell emc highperformancevirtualinfracommunitymeetup_20180621publish
Dell emc highperformancevirtualinfracommunitymeetup_20180621publishDell emc highperformancevirtualinfracommunitymeetup_20180621publish
Dell emc highperformancevirtualinfracommunitymeetup_20180621publishMakoto Ono
 
Netapp_innovation_2015_Cisco_抜粋修正版
Netapp_innovation_2015_Cisco_抜粋修正版Netapp_innovation_2015_Cisco_抜粋修正版
Netapp_innovation_2015_Cisco_抜粋修正版Takao Setaka
 
Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Takao Setaka
 
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢シスコシステムズ合同会社
 
Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布直久 住川
 
AMD_Xilinx_AI_VCK5000_20220602R1.pdf
AMD_Xilinx_AI_VCK5000_20220602R1.pdfAMD_Xilinx_AI_VCK5000_20220602R1.pdf
AMD_Xilinx_AI_VCK5000_20220602R1.pdf直久 住川
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」Nobuyuki Tamaoki
 
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsug
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsugJAWS目黒 EC2チューニングTips #jawsmeguro #jawsug
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsugYasuhiro Matsuo
 
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...Juniper Networks (日本)
 

Similaire à Vitisのご紹介とAmazon EC2 F1体験デモ (20)

ACRi panel_discussion_xilinx_hayashida_rev1.0
ACRi panel_discussion_xilinx_hayashida_rev1.0ACRi panel_discussion_xilinx_hayashida_rev1.0
ACRi panel_discussion_xilinx_hayashida_rev1.0
 
CMD2021 f01 xilinx_20210921_r1.1
CMD2021 f01 xilinx_20210921_r1.1CMD2021 f01 xilinx_20210921_r1.1
CMD2021 f01 xilinx_20210921_r1.1
 
20170804 IOS/IOS-XE運用管理機能アップデート
20170804 IOS/IOS-XE運用管理機能アップデート20170804 IOS/IOS-XE運用管理機能アップデート
20170804 IOS/IOS-XE運用管理機能アップデート
 
IOS/IOS-XE 運用管理機能アップデート
IOS/IOS-XE 運用管理機能アップデートIOS/IOS-XE 運用管理機能アップデート
IOS/IOS-XE 運用管理機能アップデート
 
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界
New IP へのステップ その2) NFV – ソフトウェアで実装するネットワークの世界
 
フロー技術によるネットワーク管理
フロー技術によるネットワーク管理フロー技術によるネットワーク管理
フロー技術によるネットワーク管理
 
Mk vpp for-containers-vppug
Mk vpp for-containers-vppugMk vpp for-containers-vppug
Mk vpp for-containers-vppug
 
第4回「クラウドを支えるKVMの現在と未来」(2011/07/07 on しすなま!)
第4回「クラウドを支えるKVMの現在と未来」(2011/07/07 on しすなま!)第4回「クラウドを支えるKVMの現在と未来」(2011/07/07 on しすなま!)
第4回「クラウドを支えるKVMの現在と未来」(2011/07/07 on しすなま!)
 
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...
[Oracle Innovation Summit Tokyo 2018] Fn Project: Next Generation Serverless ...
 
Dell emc highperformancevirtualinfracommunitymeetup_20180621publish
Dell emc highperformancevirtualinfracommunitymeetup_20180621publishDell emc highperformancevirtualinfracommunitymeetup_20180621publish
Dell emc highperformancevirtualinfracommunitymeetup_20180621publish
 
Netapp_innovation_2015_Cisco_抜粋修正版
Netapp_innovation_2015_Cisco_抜粋修正版Netapp_innovation_2015_Cisco_抜粋修正版
Netapp_innovation_2015_Cisco_抜粋修正版
 
Extreme Data Center
Extreme Data CenterExtreme Data Center
Extreme Data Center
 
Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版
 
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢
【Interop Tokyo 2016】 Seminar - EA-08 : シスコ データセンターSDN戦略、3本の矢
 
Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布
 
AMD_Xilinx_AI_VCK5000_20220602R1.pdf
AMD_Xilinx_AI_VCK5000_20220602R1.pdfAMD_Xilinx_AI_VCK5000_20220602R1.pdf
AMD_Xilinx_AI_VCK5000_20220602R1.pdf
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
 
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsug
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsugJAWS目黒 EC2チューニングTips #jawsmeguro #jawsug
JAWS目黒 EC2チューニングTips #jawsmeguro #jawsug
 
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...
【Interop Tokyo 2015】 真のビジネスアジリティを実現するSDNソリューションとは? Contrail SDN controller 最新...
 
第24回「IBM STGエバンジェリスト座談会 2013年のインフラエンジニアの生き方」(2013/01/17 on しすなま!)
第24回「IBM STGエバンジェリスト座談会 2013年のインフラエンジニアの生き方」(2013/01/17 on しすなま!)第24回「IBM STGエバンジェリスト座談会 2013年のインフラエンジニアの生き方」(2013/01/17 on しすなま!)
第24回「IBM STGエバンジェリスト座談会 2013年のインフラエンジニアの生き方」(2013/01/17 on しすなま!)
 

Dernier

LoRaWAN スマート距離検出デバイスDS20L日本語マニュアル
LoRaWAN スマート距離検出デバイスDS20L日本語マニュアルLoRaWAN スマート距離検出デバイスDS20L日本語マニュアル
LoRaWAN スマート距離検出デバイスDS20L日本語マニュアルCRI Japan, Inc.
 
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...Toru Tamaki
 
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Gamesatsushi061452
 
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。iPride Co., Ltd.
 
論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding
論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding
論文紹介:Selective Structured State-Spaces for Long-Form Video UnderstandingToru Tamaki
 
LoRaWANスマート距離検出センサー DS20L カタログ LiDARデバイス
LoRaWANスマート距離検出センサー  DS20L  カタログ  LiDARデバイスLoRaWANスマート距離検出センサー  DS20L  カタログ  LiDARデバイス
LoRaWANスマート距離検出センサー DS20L カタログ LiDARデバイスCRI Japan, Inc.
 
Utilizing Ballerina for Cloud Native Integrations
Utilizing Ballerina for Cloud Native IntegrationsUtilizing Ballerina for Cloud Native Integrations
Utilizing Ballerina for Cloud Native IntegrationsWSO2
 
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NTT DATA Technology & Innovation
 
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)Hiroshi Tomioka
 
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。iPride Co., Ltd.
 
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptxsn679259
 
新人研修 後半 2024/04/26の勉強会で発表されたものです。
新人研修 後半        2024/04/26の勉強会で発表されたものです。新人研修 後半        2024/04/26の勉強会で発表されたものです。
新人研修 後半 2024/04/26の勉強会で発表されたものです。iPride Co., Ltd.
 

Dernier (12)

LoRaWAN スマート距離検出デバイスDS20L日本語マニュアル
LoRaWAN スマート距離検出デバイスDS20L日本語マニュアルLoRaWAN スマート距離検出デバイスDS20L日本語マニュアル
LoRaWAN スマート距離検出デバイスDS20L日本語マニュアル
 
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...
論文紹介:Video-GroundingDINO: Towards Open-Vocabulary Spatio-Temporal Video Groun...
 
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games
論文紹介: The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games
 
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その22024/04/26の勉強会で発表されたものです。
 
論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding
論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding
論文紹介:Selective Structured State-Spaces for Long-Form Video Understanding
 
LoRaWANスマート距離検出センサー DS20L カタログ LiDARデバイス
LoRaWANスマート距離検出センサー  DS20L  カタログ  LiDARデバイスLoRaWANスマート距離検出センサー  DS20L  カタログ  LiDARデバイス
LoRaWANスマート距離検出センサー DS20L カタログ LiDARデバイス
 
Utilizing Ballerina for Cloud Native Integrations
Utilizing Ballerina for Cloud Native IntegrationsUtilizing Ballerina for Cloud Native Integrations
Utilizing Ballerina for Cloud Native Integrations
 
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
NewSQLの可用性構成パターン(OCHaCafe Season 8 #4 発表資料)
 
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)
Observabilityは従来型の監視と何が違うのか(キンドリルジャパン社内勉強会:2022年10月27日発表)
 
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。
Amazon SES を勉強してみる その32024/04/26の勉強会で発表されたものです。
 
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx
知識ゼロの営業マンでもできた!超速で初心者を脱する、悪魔的学習ステップ3選.pptx
 
新人研修 後半 2024/04/26の勉強会で発表されたものです。
新人研修 後半        2024/04/26の勉強会で発表されたものです。新人研修 後半        2024/04/26の勉強会で発表されたものです。
新人研修 後半 2024/04/26の勉強会で発表されたものです。
 

Vitisのご紹介とAmazon EC2 F1体験デモ

  • 1. © Copyright 2020 Xilinx VitisによるFPGAアクセラレータ開発と Amazon EC2 F1デモ ザイリンクス株式会社 データセンターグループ ストラテジックアプリケーションエンジニア 安藤 潤 2020/7/29
  • 2. © Copyright 2020 Xilinx アジェンダ 2  Vitisとは?  Vitisによる開発の流れ  F1インスタンスで体験してみよう(デモ)
  • 3. © Copyright 2020 Xilinx Vitisとは? 3
  • 4. © Copyright 2020 Xilinx エッジからクラウドまでを対象とするFPGA開発プラットフォーム 4 ビルド デプロイ Zynq-7000 Zynq UltraScale+ MPSoC Alveo Versal ACAPs 組み込み開発者 アプリケーション開発者 インフラ開発者 データサイエンティスト クラウド 本日ご説明する対象 無償!
  • 5. © Copyright 2020 Xilinx FPGA 従来のFPGAアクセラレータ開発 5 カーネル MC制御 DMA PCIe I/F ホスト アプリケーション DMAドライバ Linux ユーザー空間 API データ転送 カーネル実行制御 並列制御 リソースマネジメント DMA制御 パーシャルリコンフィグ Flash書き換え カード監視 クロック/リセット設計 各IP周り設計 コントローラ AXIバス設計 レジスタマップ パーシャルリコンフィグ マイコンとの通信 シミュレーション 合成、配置配線 タイミング収束 アクセラレータの実現までに膨大な開発工数が必要!
  • 6. © Copyright 2020 Xilinx FPGA VitisによるFPGAアクセラレータ開発 6 ホスト Linux Vitisが提供するプラットフォームを活用し アクセラレータの本質的な開発に注力できる! アクセラレータを効率的に 動かすための仕組みを OpenCL規格に沿って提供 (オープンソース) カード管理のためのツール群 検証済みのデザインを提供 DMA PCIe I/FXRTドライバ OpenCL Runtime API カーネル MC制御 アプリケーション ユーザー設計 自動生成 プラットフォーム カーネルに応じて つなぎの回路を自動生成
  • 7. © Copyright 2020 Xilinx Vitis 統合ソフトウェアプラットフォーム 7 XRT(ランタイムライブラリ) Vitisターゲットプラットフォーム ドメイン特化型 開発環境 Vitis開発ツール Vitisライブラリ 画像処理 線形代数 Vitis AI Vitisビデオ パートナー ソリューション 数理ファイナンス アナライザ デバッガコンパイラ エッジ オンプレ クラウド
  • 8. © Copyright 2020 Xilinx Vitisターゲットプラットフォーム ※PCIeアクセラレータカードの場合 8  ターゲットプラットフォーム  スタティック領域  PCIeインターフェース、XDMA  コンフィギュレーションおよび ライフサイクル管理  ダイナミック領域  ユーザーカーネル  AXIインターコネクト  DDRメモリコントローラ  デバッグロジック  XRT  FPGAイメージのダウンロード  ホストとデバイス間のデータ転送  カーネル実行管理  デバッグ、プロファイル  カード管理 FPGA スタティック領域 ダイナミック領域 ドライバ XRT Core Library OpenCL Runtime API ツール・ ユーティリティ XRT GitHub: https://github.com/Xilinx/XRT
  • 9. © Copyright 2020 Xilinx Vitisによる開発の流れ 9
  • 10. © Copyright 2020 Xilinx Vitis統合ソフトウェアプラットフォーム 10 XRT(ランタイムライブラリ) Vitisターゲットプラットフォーム Vitis開発ツール Vitisライブラリ 画像処理 線形代数 Vitis AI Vitisビデオ パートナー ソリューション 数理ファイナンス アナライザ デバッガコンパイラ エッジ オンプレ クラウド ドメイン特化型 開発環境
  • 11. © Copyright 2020 Xilinx アクセラレータ開発の流れ 11 カーネル設計アプリケーションのプロファイル、 オフロード対象の切り出し ビルド・デバッグ・検証・解析を 繰り返し、目標性能達成 デプロイ ランタイム アプリケーション& FPGAイメージ 1 2 3 4 C++
  • 12. © Copyright 2020 Xilinx カーネル設計方法 12 Vivado 高位合成 (HLS) RTL 21 Vitis ライブラリ 3
  • 13. © Copyright 2020 Xilinx ① 高位合成(HLS)でカーネルを設計 13  HLS: High Level Synthesis  C++ による高抽象度記述  高い設計生産性 !  短く簡潔なコード  最適化済みライブラリ  高速なCシミュレーション  生成されたRTLの自動シミュレーション 高位合成 RTL検証の自動化 デザインステップ • Cシミュレーション • C合成 • 協調シミュレーション RTL IP 最適化 ライブラリ コンパイラ 指示子 C++
  • 14. © Copyright 2020 Xilinx f(int in[20], int out[20]) { int a,b,c,x,y; for(int i = 0; i < 20; i++) { x = in[i]; y = a*x + b + c; out[i] = y;} f(int in[20], int out[20]) { int a,b,c,x,y; for(int i = 0; i < 20; i++) { x = in[i]; y = a*x + b + c; out[i] = y; } a Adapter - AXI - FIFO - RAM Adapter - AXI - FIFO - RAM 制御論理– FSM i v v v x b c y v v v v v v v アクセラレータを簡単なコードで記述 14 HLSが回路のインターフェースと制御論理を自動で実装
  • 15. © Copyright 2020 Xilinx FPGAの特長を活かした性能の最適化 15 演算の カスタマイズ • マイクロアーキテクチャ • 並列処理 メモリの 適応 • オンチップメモリ • シフトレジスタ データ型の 最適化 • 演算精度を調整
  • 16. © Copyright 2020 Xilinx ② RTL設計資産を活用 16  RTLデザインを 2 ステップで統合  ステップ 1: RTLコードをVivado IPとしてパッケージ  ステップ 2: Xilinx Objectファイル (.xo) にパッケージ  オプション: Cモデルがあれば高速なシミュレーションが可能  RTL Kernel Wizardによる自動生成  VitisやVivadoのGUIからウィザードを起動  ウィザードによりステップ1と2を自動で実行 RTL IP Xilinx Object (.xo)
  • 17. © Copyright 2020 Xilinx ③ Vitisライブラリを利用 17 最適化済みの500以上の関数を提供 ビジョン・画像 数理ファイナンス データ解析・ データベース データ圧縮 セキュリティ 数学 線形代数 統計 信号処理 ユーティリティ ドメイン特化ライブラリ 共通ライブラリ
  • 18. © Copyright 2020 Xilinx 3つの抽象レベルで提供 Leverage as-is, Modify or Combine with Custom Code 18 ターゲット プラットフォーム XRT(ランタイムライブラリ) L3 Host code L1 L2 L1 L1 L1 L3 オーバーレイ • アプリケーションから利用で きるソフトウェアAPI • ビルド済みFPGAイメージ • カスタマイズ、再ビルド • 最適化済みのカーネル • 必要に応じてカスタマイズしVitis 開発ツールでビルド • データ転送や起動はXRTで管理 • カーネル設計のための基本的 なHLS関数 • カスタマイズしたり、他のプ リミティブやカーネルと組み 合わせる • Vitis開発ツールでビルドが必要 ソフトウェアAPI (Level 3) カーネル (Level 2) プリミティブ (Level 1)
  • 19. © Copyright 2020 Xilinx オープンソースで誰でも利用可能 Access Everything You Need to Get Started 19 幅広いドキュメント 抽象レベルごとの ディレクトリ構造 ソースコード Apache 2.0 License ベンチマーク サンプルコード、 テストケース https://github.com/Xilinx/Vitis_Libraries
  • 20. © Copyright 2020 Xilinx コンパイルとリンク 20 RTL IPs C++ HLS Vitisライブラリ Xilinx Object (.xo) RTL Kernel Wizard Vitis Compiler (v++) Xilinx Object (.xo) Vitis Compiler (v++) Xilinx Object (.xo) Compiled Accelerator Vitis Linker (V++) Vitis Target Platform カーネル オブジェクト FPGAイメージ コンパイル リンク
  • 21. © Copyright 2020 Xilinx アクセラレータ開発フローのまとめ 21 コンパイラ・リンカ アクセラレータ RTL アプリケーション 開発 Deployed Application (On-Premise, Cloud) Application Code GCC/G++ Vitis開発ツール C++ Executable Compiled Accelerators 高速化 ライブラリ PCIe Express Xilinx Runtime Deployed Accelerators Xilinx Runtime User API エミュレーション 解析 デバッグ X86 Host Xilinx Accelerator Card
  • 22. © Copyright 2020 Xilinx F1インスタンスで体験してみよう 22
  • 23. © Copyright 2020 Xilinx デモの流れ 23 1. F1インスタンスを作成する 2. Vitisをセットアップする 3. Vitisライブラリのアプリを動かしてみる https://www.acri.c.titech.ac.jp/wordpress/archives/377 ACRiブログのチュートリアルに沿ってF1インスタンスを動かすまでの手順を見ていきます
  • 24. © Copyright 2020 Xilinx デモ 24 YouTube: F1インスタンスでFPGAアクセラレータを体験してみよう
  • 25. © Copyright 2020 Xilinx Thank You