SlideShare une entreprise Scribd logo
1  sur  19
Inputs of VLSI Physical Design
(With Synopsys tools used a Example)
A.Sai Kishore.
Project Engineer
Cerium Systems
Assited by
Anantha Bhat
Physical Design
● It is the process of transforming a Logical description
(in form of Netlist) into the physical layout(finally in
form of GDS2) , which describes the position of cells
and routes the interconnection between them.
Some of the Inputs of Physical Design
● Defination; Scenario => Combination of Modes & Corners
● Gate level Netlist (Predominently .v format & Hierarchical)
● Logical Library to link the netlist: Standard Cells (ASCII .lib converted to Binary .db)
● Library to link the Macros in the netlist: (For Eg. Timing model)
● Physical libraries(.lef) ( MATCHING Physical cells & Logical views)
● For each of the desigm Scenario's
– Timing,logical and power libraries(ASCII .lib converted to Binary .db
– Technology file(.tf)
– TLUPlus(Binary .tlup from nxtgrd files)
– Constraints(.sdc) (Either as separate file or common file with scenario varibales)
OPTIONAL: UPF file if low power methodology is adopted
●
● Design Decisions & Methodologies
● Floor Planning constraints ( Like Utilization Ratio)
● List of Design Scenarios for optimization & clock tree phases
● List of Scenarios for timing signoff
● Prefered to have: Any reference Methodology Script/Design Flow to follow
● Methodology constraints & Design Objectives/priorities ( like use of Low vt,High Vt etc)
Plus For Top level Physical Design
● Pads location
●
Gate Level Netlist
● Once you synthesize RTL , we will see only gates
where connections make the intended logic what you
coded in RTL
● Since whatever we write in rtl eventually it must be
converted to basic gates no matter how complex
algorithm we write
● DC , RC are two commonly used tools to convert
RTL design into gate level netlist basically a .v or
.vhdl file
Gate Level Netlist
Timing,Logical and Power Libraries
● It is generally a .lib/.db file that contains timing
information of all the standard cells.
● Functionality information of standard cells
● Design rules like max transition,max
capacitance,max fanout
● In timing information,cell delays, setup and hold
time are present
Timing,Logical and Power Libraries
● Also contain leakage power for default cell,default
input voltage and output voltage
● It also contains a LM(logical model) view
Physical Libraries
● It is a .lef(library exchange format) file that contains physical
info of standard cells,macros.
● Pin information of standard cells
● Min. width and height of the placement rows
● Preferred routing directions
● Pitch of routing tracks
● It contains two views
CEL view: Useful at the time of tapeout
FRAM view: Useful at the time of place & route.
Technology File
● It is a .tf file which contains the name of the technology to be
used.
● It also contains physical,electrical characteristics of the layer
● Physical characteristics include min width,area of the layer
● Electrical characteristics include current density of the layer
● It also contains physical design rules like wire to wire spacing ,
min width between layers.
TLU+ File
● These files are generated or extracted from
.itf(Interconnect Technology Format)
● .itf file contains the interconnect details.It also
describes the thickness and physical attributes of
conductor and dielectric layers.
● The TLU+ files main function is to find out the R,C
parasitics of metal per unit length for calculating net
delays.
Constraints
● It is basically a .sdc file in Tcl-based format.
● SDC file contains basic commands,object access
commands,timing constraints,environment
commands,multi voltage commands
● SDC file also contains exceptions like multicycle
path,false path
Conclusion
● Aim of PD phase is to implement the logic
● Aim is to meet Area, Timing & Power goals
● Design planning, Power planning, Placement, Clock tree
synthesis & Routing and iterative optimization are stages
● Physical, Logical , Timing, Parasitic & power information
are inputs needed for algorithms
● Along with Design, above needs to be provided
● Design approches may vary. Methodlogy decisions will help
in implementation Engineers work

Contenu connexe

Tendances

Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdfAhmed Abdelazeem
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical designDeiptii Das
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioningSushil Kundu
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSISurya Raj
 

Tendances (20)

Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Static_Time_Analysis.pptx
Static_Time_Analysis.pptxStatic_Time_Analysis.pptx
Static_Time_Analysis.pptx
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
Physical Verification Design.pdf
Physical Verification Design.pdfPhysical Verification Design.pdf
Physical Verification Design.pdf
 
Design challenges in physical design
Design challenges in physical designDesign challenges in physical design
Design challenges in physical design
 
Physical design
Physical design Physical design
Physical design
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 

Similaire à Inputs of physical design

Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placementshaik sharief
 
Cadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdfCadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdfSamHoney6
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
06_1_design_flow.ppt
06_1_design_flow.ppt06_1_design_flow.ppt
06_1_design_flow.pptMohammedMianA
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdlArshit Rai
 
[2015/2016] AADL (Architecture Analysis and Design Language)
[2015/2016] AADL (Architecture Analysis and Design Language)[2015/2016] AADL (Architecture Analysis and Design Language)
[2015/2016] AADL (Architecture Analysis and Design Language)Ivano Malavolta
 
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by    Dr.R.Prakash RaoPrilimanary Concepts of VHDL by    Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by Dr.R.Prakash Raorachurivlsi
 
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111 SP23.pptx
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111  SP23.pptxF21-LOGIC DESIGN Advanced lec-FOE-CMPN111  SP23.pptx
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111 SP23.pptxGaser4
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdfFrangoCamila
 
(Very u seful) different file format
(Very u seful) different file format(Very u seful) different file format
(Very u seful) different file formatJitendra Chinchore
 
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptxLecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptxwafawafa52
 

Similaire à Inputs of physical design (20)

Fpga & VHDL
Fpga & VHDLFpga & VHDL
Fpga & VHDL
 
Logic synthesis,flootplan&placement
Logic synthesis,flootplan&placementLogic synthesis,flootplan&placement
Logic synthesis,flootplan&placement
 
Digital_system_design_A (1).ppt
Digital_system_design_A (1).pptDigital_system_design_A (1).ppt
Digital_system_design_A (1).ppt
 
Cadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdfCadence GenusTutorial------------ .pdf.pdf
Cadence GenusTutorial------------ .pdf.pdf
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
Vlsi
VlsiVlsi
Vlsi
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
06_1_design_flow.ppt
06_1_design_flow.ppt06_1_design_flow.ppt
06_1_design_flow.ppt
 
1650607.ppt
1650607.ppt1650607.ppt
1650607.ppt
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
[2015/2016] AADL (Architecture Analysis and Design Language)
[2015/2016] AADL (Architecture Analysis and Design Language)[2015/2016] AADL (Architecture Analysis and Design Language)
[2015/2016] AADL (Architecture Analysis and Design Language)
 
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by    Dr.R.Prakash RaoPrilimanary Concepts of VHDL by    Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
 
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111 SP23.pptx
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111  SP23.pptxF21-LOGIC DESIGN Advanced lec-FOE-CMPN111  SP23.pptx
F21-LOGIC DESIGN Advanced lec-FOE-CMPN111 SP23.pptx
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf
 
Chapter 01
Chapter 01Chapter 01
Chapter 01
 
(Very u seful) different file format
(Very u seful) different file format(Very u seful) different file format
(Very u seful) different file format
 
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptxLecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
Lecture 16 RC Architecture Types & FPGA Interns Lecturer.pptx
 

Dernier

Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Christo Ananth
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spaintimesproduction05
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdfankushspencer015
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)simmis5
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college projectTonystark477637
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756dollysharma2066
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLManishPatel169454
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdfKamal Acharya
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...SUHANI PANDEY
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 

Dernier (20)

Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...
 
Vivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design SpainVivazz, Mieres Social Housing Design Spain
Vivazz, Mieres Social Housing Design Spain
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)Java Programming :Event Handling(Types of Events)
Java Programming :Event Handling(Types of Events)
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
FULL ENJOY Call Girls In Mahipalpur Delhi Contact Us 8377877756
 
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELLPVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
PVC VS. FIBERGLASS (FRP) GRAVITY SEWER - UNI BELL
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 

Inputs of physical design

  • 1. Inputs of VLSI Physical Design (With Synopsys tools used a Example) A.Sai Kishore. Project Engineer Cerium Systems Assited by Anantha Bhat
  • 2. Physical Design ● It is the process of transforming a Logical description (in form of Netlist) into the physical layout(finally in form of GDS2) , which describes the position of cells and routes the interconnection between them.
  • 3. Some of the Inputs of Physical Design ● Defination; Scenario => Combination of Modes & Corners ● Gate level Netlist (Predominently .v format & Hierarchical) ● Logical Library to link the netlist: Standard Cells (ASCII .lib converted to Binary .db) ● Library to link the Macros in the netlist: (For Eg. Timing model) ● Physical libraries(.lef) ( MATCHING Physical cells & Logical views) ● For each of the desigm Scenario's – Timing,logical and power libraries(ASCII .lib converted to Binary .db – Technology file(.tf) – TLUPlus(Binary .tlup from nxtgrd files) – Constraints(.sdc) (Either as separate file or common file with scenario varibales) OPTIONAL: UPF file if low power methodology is adopted ● ● Design Decisions & Methodologies ● Floor Planning constraints ( Like Utilization Ratio) ● List of Design Scenarios for optimization & clock tree phases ● List of Scenarios for timing signoff ● Prefered to have: Any reference Methodology Script/Design Flow to follow ● Methodology constraints & Design Objectives/priorities ( like use of Low vt,High Vt etc) Plus For Top level Physical Design ● Pads location ●
  • 4. Gate Level Netlist ● Once you synthesize RTL , we will see only gates where connections make the intended logic what you coded in RTL ● Since whatever we write in rtl eventually it must be converted to basic gates no matter how complex algorithm we write ● DC , RC are two commonly used tools to convert RTL design into gate level netlist basically a .v or .vhdl file
  • 6. Timing,Logical and Power Libraries ● It is generally a .lib/.db file that contains timing information of all the standard cells. ● Functionality information of standard cells ● Design rules like max transition,max capacitance,max fanout ● In timing information,cell delays, setup and hold time are present
  • 7. Timing,Logical and Power Libraries ● Also contain leakage power for default cell,default input voltage and output voltage ● It also contains a LM(logical model) view
  • 8. Physical Libraries ● It is a .lef(library exchange format) file that contains physical info of standard cells,macros. ● Pin information of standard cells ● Min. width and height of the placement rows ● Preferred routing directions ● Pitch of routing tracks ● It contains two views CEL view: Useful at the time of tapeout FRAM view: Useful at the time of place & route.
  • 9.
  • 10. Technology File ● It is a .tf file which contains the name of the technology to be used. ● It also contains physical,electrical characteristics of the layer ● Physical characteristics include min width,area of the layer ● Electrical characteristics include current density of the layer ● It also contains physical design rules like wire to wire spacing , min width between layers.
  • 11.
  • 12. TLU+ File ● These files are generated or extracted from .itf(Interconnect Technology Format) ● .itf file contains the interconnect details.It also describes the thickness and physical attributes of conductor and dielectric layers. ● The TLU+ files main function is to find out the R,C parasitics of metal per unit length for calculating net delays.
  • 13. Constraints ● It is basically a .sdc file in Tcl-based format. ● SDC file contains basic commands,object access commands,timing constraints,environment commands,multi voltage commands ● SDC file also contains exceptions like multicycle path,false path
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.
  • 19. Conclusion ● Aim of PD phase is to implement the logic ● Aim is to meet Area, Timing & Power goals ● Design planning, Power planning, Placement, Clock tree synthesis & Routing and iterative optimization are stages ● Physical, Logical , Timing, Parasitic & power information are inputs needed for algorithms ● Along with Design, above needs to be provided ● Design approches may vary. Methodlogy decisions will help in implementation Engineers work