SlideShare une entreprise Scribd logo
1  sur  15
Télécharger pour lire hors ligne
Consume. Collaborate. Contribute.Consume. Collaborate. Contribute.
ODSA: Technical Introduction
Bapi Vinnakota, Netronome
ODSA Project Workshop
March 28, 2019
Consume. Collaborate. Contribute.
ODSA: A New Server Subgroup (Incubation)
• Extending Moore’s Law
• Domain-Specific Architectures: Programmable ASICs to accelerate high-intensity workloads (e.g. Tensorflow,
Network Flow Processor, Antminer…)
• Chiplets: Build complex ASICs from multiple die, instead of as monolithic devices, to reduce development
time/costs and manufacturing costs.
• Open Domain-Specific Architecture: An architecture to build domain-specific products
• Today: All multi-chiplet products are based on proprietary interfaces
• Tomorrow: Select best-of-breed chiplets from multiple vendors
• Incubating a new group, to define a new open interface, build a PoC
• Today is our first workshop as an OCP project!
Thanks to:
Achronix: Quinn Jacobson, Manoj Roge; Aquantia: Ramin Farjad; Avera Semi: Dan Greenberg, Mark Kuemerle, Wolfgang Sauter; Ayar Labs:
Shahab Ardalan; ESNet: Yatish Kumar; Kandou: Brian Holden, Jeff McGuire; Netronome : Sujal Das, Jim Finnegan, Jennifer Mendola, Brian
Sparks, Niel Viljoen; NXP: Sam Fuller; OCP: Bill Carter, Archna Haylock, Dharmesh Jani, Steve Roberts, Seth Sethapong, John Stuewe, Aaron
Sullivan, Siamak Tavallaei ; Samtec: Marc Verdiell; Sarcina: Larry Zu; zGlue: Jawad Nasrullah.
Consume. Collaborate. Contribute.
Domain-Specific Architectures
Tailor architecture to a domain*
⎻ Server-attached devices —
programmable, not hardwired
⎻ Integrated application and
deployment-aware development of
devices, firmware, systems, software
⎻ 5-10X power performance
improvement
• Big - more of a processor to I/O
mismatch => more memory
• Each serves a smaller market
*A New Golden Age for Computer Architecture
John L. Hennessy, David A. Patterson
Communications of the ACM, February 2019, Vol. 62 No. 2, Pages 48-60
Consume. Collaborate. Contribute.
Monolithic vs Chiplets
Shrink: Monolithic process shrink
Integration: Multi-chip on same process
Integration provides nearly all the benefits of a shrink at a fraction of
the cost, because of efficient inter-chiplet interconnect
https://www.netronome.com/media/documents/WP_ODSA_Open_Accelerator_Architecture.pdf
AMD Data
4 Die are ~30%
cheaper than a
single large die
Consume. Collaborate. Contribute.
5
DARPATarget
Consume. Collaborate. Contribute.
PHY Layer Options
https://www.netronome.com/media/documents/WP_ODSA_Open_Accelerator_Architecture.pdf
Consume. Collaborate. Contribute.
Domain-specific accelerators
• Host-attached programmable logic optimized for an
application domain
⎻ Tensorflow, Netronome NFP, Crypto, IoT,…
• Domain-specific accelerators contain lots of generic
logic ~35-45% of silicon area, development time
⎻ Network, Host, Memory Interfaces
⎻ General-purpose CPUs
⎻ SRAM, interconnect
⎻ Domain-specific logic works in coordination with
host and/or CPU SW
• Ideally
⎻ Investment in a DSA should be limited to the
domain-acceleration logic
• In reality
⎻ Buy IP for the “non-core” parts, spend $$’s test
and integration
7
Consume. Collaborate. Contribute.
Multi-Chiplet Reference Architecture for DSA
Design Function Value
IP Qualification Verified IP for inter-chiplet
communication
Architecture Leverage reference architecture.
Focus investment on domain-specific
logic.
Reuse chiplets instead of IP for 40% of the
functions in a monolithic design
Verification
Physical
Software Open source firmware and software for
host-attached operation
Prototype Aim for reference package design with
area, power budgets and pinouts for
components
Test and Validation Develop workflow for chiplets
Consume. Collaborate. Contribute.
Open Interface for Chiplet-Based Design
Multiple chiplets need to function as though they are on one die
ArchitectureInterface
Consume. Collaborate. Contribute.
Need a Scalable Interface
Multiple OCP projects use
accelerators
Open architectural interface to
support accelerator designs across
multiple carrier cards
Power, management, reliability
requirements vary across sockets
Enable a collection of ODSA-
compliant chiplets, packages,
sockets, in the OCP marketplace
Olympus
NIC3.0
ODSA
OCP Accelerator Module
M.2 Accelerator
Open Rack
Consume. Collaborate. Contribute.
ODSA Landing Zones
Network I/O Host I/O Power Size
NIC 2.0 Dual port x 25 X16 PCIe Gen 3 25w
NIC 3.0 Dual port x 200 SFF: x16 PCIe Gen 4/Gen 5
LFF: x32 PCIe Gen 4/Gen 5
Small: 80w
Large: 150w
Small/Large
M.2
M.2 Dual
N/A Single: x4 PCIe Gen 3/Gen 4
Dual: x8 PCIe Gen 3/Gen 4
Single: 12w
Dual: 20w
Single: 22x110
Dual: 46x110
OAM 8x16 SerDes Lanes Typical: x16 PCIe 12V: 350w
48V: 700w
102x165
Olympus Via x16 PCIe Cards 1x16 PCIe 75W-300W PCIe AIC FHHL PCIe
Tioga Pass Up to 100Gbps SH x32 PCIe Gen3 6.5x20inch
Data from Ron Renwick, John Stuewe, Siamak Tavallaei, Whitney Zhao
Consume. Collaborate. Contribute.
Cross-chiplet ODSA fabric proposal
12
ArchitectureInterface
Consume. Collaborate. Contribute.
Progress Since the Last Workshop
• Timeline:
⎻ ODSA Announced 10/1/18 7 companies
⎻ White Paper 12/5/18 10 companies
⎻ First Workshop 01/28/19 35 companies
⎻ Joined OCP 03/15/19
⎻ Today 03/28/19 53 companies
• PoC
⎻ Identified components, use cases
• Standards
⎻ Characterizing PHY, new interface proposal
• Business
⎻ Survey, business model
Consume. Collaborate. Contribute.
TIL in the last six months
• We’re solving the right problem, tbd on whether it’s the right solution.
• Analog (and cache coherence) engineers have lots of opinions, likely justified,
but also confusing for mere mortals.
• How you do business drives chiplet economics and your technology choices.
• Our interface definition must recognize this diversity while focusing our effort.
• You need a new business/workflow model that make chiplets work across this
diversity
Consume. Collaborate. Contribute.
How to Participate
Please Help! : Join a Workstream
Join Interface/Standards:
(Mark Kuemerle/Aaron Sullivan)
Develop
Packaging +
Socket, Dev Board
Provide FPGA IP
Provide
ODSA
chiplets
Provide PHY
technology
Join the PoC, Build fast:
(Quinn Jacobson/Jawad Nasrullah)
Join Business, IP and workflow:
(Sam Fuller/Jeff McGuire)
Develop software Define test and
assembly workflow
Provide Chiplet IP
Workstream contact information at the ODSA wiki
Define
Architectural
Interface

Contenu connexe

Similaire à ODSA - Technical Introduction

Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
Ceph Community
 

Similaire à ODSA - Technical Introduction (20)

ODSA Sub-Project Launch
 ODSA Sub-Project Launch ODSA Sub-Project Launch
ODSA Sub-Project Launch
 
Implementing AI: High Performace Architectures
Implementing AI: High Performace ArchitecturesImplementing AI: High Performace Architectures
Implementing AI: High Performace Architectures
 
SoC Solutions Enabling Server-Based Networking
SoC Solutions Enabling Server-Based NetworkingSoC Solutions Enabling Server-Based Networking
SoC Solutions Enabling Server-Based Networking
 
SFScon 2020 - Roberto Innocenti - 202x Open Hardware Concrete Approach
SFScon 2020 - Roberto Innocenti - 202x Open Hardware Concrete ApproachSFScon 2020 - Roberto Innocenti - 202x Open Hardware Concrete Approach
SFScon 2020 - Roberto Innocenti - 202x Open Hardware Concrete Approach
 
Open Source Investments in Mainframe Through the Next Generation - Showcasing...
Open Source Investments in Mainframe Through the Next Generation - Showcasing...Open Source Investments in Mainframe Through the Next Generation - Showcasing...
Open Source Investments in Mainframe Through the Next Generation - Showcasing...
 
Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
Ceph Day Chicago - Deploying flash storage for Ceph without compromising perf...
 
ODSA - Business Workstream
ODSA - Business WorkstreamODSA - Business Workstream
ODSA - Business Workstream
 
Introduction to the OpenPOWER Foundation - Open Source Days event
Introduction to the OpenPOWER Foundation - Open Source Days eventIntroduction to the OpenPOWER Foundation - Open Source Days event
Introduction to the OpenPOWER Foundation - Open Source Days event
 
FPGAs in the cloud? (October 2017)
FPGAs in the cloud? (October 2017)FPGAs in the cloud? (October 2017)
FPGAs in the cloud? (October 2017)
 
Ceph on 64-bit ARM with X-Gene
Ceph on 64-bit ARM with X-GeneCeph on 64-bit ARM with X-Gene
Ceph on 64-bit ARM with X-Gene
 
Microsoft Project Olympus AI Accelerator Chassis (HGX-1)
Microsoft Project Olympus AI Accelerator Chassis (HGX-1)Microsoft Project Olympus AI Accelerator Chassis (HGX-1)
Microsoft Project Olympus AI Accelerator Chassis (HGX-1)
 
OpenPOWER Summit 2020 - OpenCAPI Keynote
OpenPOWER Summit 2020 -  OpenCAPI KeynoteOpenPOWER Summit 2020 -  OpenCAPI Keynote
OpenPOWER Summit 2020 - OpenCAPI Keynote
 
PoC Requirements and Use Cases
PoC Requirements and Use CasesPoC Requirements and Use Cases
PoC Requirements and Use Cases
 
ODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use CasesODSA - PoC Requirements and Use Cases
ODSA - PoC Requirements and Use Cases
 
OpenCAPI next generation accelerator
OpenCAPI next generation accelerator OpenCAPI next generation accelerator
OpenCAPI next generation accelerator
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC Systems
 
Ceph Day Amsterdam 2015 - Deploying flash storage for Ceph without compromisi...
Ceph Day Amsterdam 2015 - Deploying flash storage for Ceph without compromisi...Ceph Day Amsterdam 2015 - Deploying flash storage for Ceph without compromisi...
Ceph Day Amsterdam 2015 - Deploying flash storage for Ceph without compromisi...
 
Data Policies for the Kafka-API with WebAssembly | Alexander Gallego, Vectorized
Data Policies for the Kafka-API with WebAssembly | Alexander Gallego, VectorizedData Policies for the Kafka-API with WebAssembly | Alexander Gallego, Vectorized
Data Policies for the Kafka-API with WebAssembly | Alexander Gallego, Vectorized
 
DATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceDATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe Conference
 
Internet Of Things: Hands on: YOW! night
Internet Of Things: Hands on: YOW! nightInternet Of Things: Hands on: YOW! night
Internet Of Things: Hands on: YOW! night
 

Plus de ODSA Workgroup

Plus de ODSA Workgroup (19)

ODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for HelpODSA - PoC Planning & Call for Help
ODSA - PoC Planning & Call for Help
 
ODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoCODSA - DirectConnect Options for the PoC
ODSA - DirectConnect Options for the PoC
 
ODSA - PoC Physical Design Elements
ODSA - PoC Physical Design ElementsODSA - PoC Physical Design Elements
ODSA - PoC Physical Design Elements
 
ODSA - NXP PoC Presentation
ODSA - NXP PoC PresentationODSA - NXP PoC Presentation
ODSA - NXP PoC Presentation
 
ODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POCODSA - Speedster22i FPGA for POC
ODSA - Speedster22i FPGA for POC
 
ODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based DesignODSA Design Tools for Chiplet-Based Design
ODSA Design Tools for Chiplet-Based Design
 
ODSA - Power and Heat
ODSA - Power and HeatODSA - Power and Heat
ODSA - Power and Heat
 
ODSA - PIPE Adapters
ODSA - PIPE Adapters ODSA - PIPE Adapters
ODSA - PIPE Adapters
 
ODSA - PHY Layer
ODSA - PHY LayerODSA - PHY Layer
ODSA - PHY Layer
 
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface SolutionsOODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
OODSA - BoW: Basic, Fast, Turbo Die-to Die Open Interface Solutions
 
ODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSAODSA - Samsung Welcomes OCP's ODSA
ODSA - Samsung Welcomes OCP's ODSA
 
ODSA - OCP Welcome
ODSA - OCP WelcomeODSA - OCP Welcome
ODSA - OCP Welcome
 
ODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the InfrastructureODSA - OCP Accelerator Module and the Infrastructure
ODSA - OCP Accelerator Module and the Infrastructure
 
ODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort SummaryODSA Workshop: Development Effort Summary
ODSA Workshop: Development Effort Summary
 
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test ViewDesign and Testing Challenges for Chiplet Based Design: Assembly and Test View
Design and Testing Challenges for Chiplet Based Design: Assembly and Test View
 
ODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & FeedsODSA Proof of Concept SmartNIC Speeds & Feeds
ODSA Proof of Concept SmartNIC Speeds & Feeds
 
ODSA Use Case - SmartNIC
ODSA Use Case - SmartNICODSA Use Case - SmartNIC
ODSA Use Case - SmartNIC
 
Chiplets in Data Centers
Chiplets in Data CentersChiplets in Data Centers
Chiplets in Data Centers
 
ODSA Workshop
ODSA WorkshopODSA Workshop
ODSA Workshop
 

Dernier

Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
WSO2
 

Dernier (20)

Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
Apidays New York 2024 - Accelerating FinTech Innovation by Vasa Krishnan, Fin...
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024FWD Group - Insurer Innovation Award 2024
FWD Group - Insurer Innovation Award 2024
 
DBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor PresentationDBX First Quarter 2024 Investor Presentation
DBX First Quarter 2024 Investor Presentation
 
ICT role in 21st century education and its challenges
ICT role in 21st century education and its challengesICT role in 21st century education and its challenges
ICT role in 21st century education and its challenges
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, AdobeApidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
Apidays New York 2024 - Scaling API-first by Ian Reasor and Radu Cotescu, Adobe
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering Developers
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 

ODSA - Technical Introduction

  • 1. Consume. Collaborate. Contribute.Consume. Collaborate. Contribute. ODSA: Technical Introduction Bapi Vinnakota, Netronome ODSA Project Workshop March 28, 2019
  • 2. Consume. Collaborate. Contribute. ODSA: A New Server Subgroup (Incubation) • Extending Moore’s Law • Domain-Specific Architectures: Programmable ASICs to accelerate high-intensity workloads (e.g. Tensorflow, Network Flow Processor, Antminer…) • Chiplets: Build complex ASICs from multiple die, instead of as monolithic devices, to reduce development time/costs and manufacturing costs. • Open Domain-Specific Architecture: An architecture to build domain-specific products • Today: All multi-chiplet products are based on proprietary interfaces • Tomorrow: Select best-of-breed chiplets from multiple vendors • Incubating a new group, to define a new open interface, build a PoC • Today is our first workshop as an OCP project! Thanks to: Achronix: Quinn Jacobson, Manoj Roge; Aquantia: Ramin Farjad; Avera Semi: Dan Greenberg, Mark Kuemerle, Wolfgang Sauter; Ayar Labs: Shahab Ardalan; ESNet: Yatish Kumar; Kandou: Brian Holden, Jeff McGuire; Netronome : Sujal Das, Jim Finnegan, Jennifer Mendola, Brian Sparks, Niel Viljoen; NXP: Sam Fuller; OCP: Bill Carter, Archna Haylock, Dharmesh Jani, Steve Roberts, Seth Sethapong, John Stuewe, Aaron Sullivan, Siamak Tavallaei ; Samtec: Marc Verdiell; Sarcina: Larry Zu; zGlue: Jawad Nasrullah.
  • 3. Consume. Collaborate. Contribute. Domain-Specific Architectures Tailor architecture to a domain* ⎻ Server-attached devices — programmable, not hardwired ⎻ Integrated application and deployment-aware development of devices, firmware, systems, software ⎻ 5-10X power performance improvement • Big - more of a processor to I/O mismatch => more memory • Each serves a smaller market *A New Golden Age for Computer Architecture John L. Hennessy, David A. Patterson Communications of the ACM, February 2019, Vol. 62 No. 2, Pages 48-60
  • 4. Consume. Collaborate. Contribute. Monolithic vs Chiplets Shrink: Monolithic process shrink Integration: Multi-chip on same process Integration provides nearly all the benefits of a shrink at a fraction of the cost, because of efficient inter-chiplet interconnect https://www.netronome.com/media/documents/WP_ODSA_Open_Accelerator_Architecture.pdf AMD Data 4 Die are ~30% cheaper than a single large die
  • 6. Consume. Collaborate. Contribute. PHY Layer Options https://www.netronome.com/media/documents/WP_ODSA_Open_Accelerator_Architecture.pdf
  • 7. Consume. Collaborate. Contribute. Domain-specific accelerators • Host-attached programmable logic optimized for an application domain ⎻ Tensorflow, Netronome NFP, Crypto, IoT,… • Domain-specific accelerators contain lots of generic logic ~35-45% of silicon area, development time ⎻ Network, Host, Memory Interfaces ⎻ General-purpose CPUs ⎻ SRAM, interconnect ⎻ Domain-specific logic works in coordination with host and/or CPU SW • Ideally ⎻ Investment in a DSA should be limited to the domain-acceleration logic • In reality ⎻ Buy IP for the “non-core” parts, spend $$’s test and integration 7
  • 8. Consume. Collaborate. Contribute. Multi-Chiplet Reference Architecture for DSA Design Function Value IP Qualification Verified IP for inter-chiplet communication Architecture Leverage reference architecture. Focus investment on domain-specific logic. Reuse chiplets instead of IP for 40% of the functions in a monolithic design Verification Physical Software Open source firmware and software for host-attached operation Prototype Aim for reference package design with area, power budgets and pinouts for components Test and Validation Develop workflow for chiplets
  • 9. Consume. Collaborate. Contribute. Open Interface for Chiplet-Based Design Multiple chiplets need to function as though they are on one die ArchitectureInterface
  • 10. Consume. Collaborate. Contribute. Need a Scalable Interface Multiple OCP projects use accelerators Open architectural interface to support accelerator designs across multiple carrier cards Power, management, reliability requirements vary across sockets Enable a collection of ODSA- compliant chiplets, packages, sockets, in the OCP marketplace Olympus NIC3.0 ODSA OCP Accelerator Module M.2 Accelerator Open Rack
  • 11. Consume. Collaborate. Contribute. ODSA Landing Zones Network I/O Host I/O Power Size NIC 2.0 Dual port x 25 X16 PCIe Gen 3 25w NIC 3.0 Dual port x 200 SFF: x16 PCIe Gen 4/Gen 5 LFF: x32 PCIe Gen 4/Gen 5 Small: 80w Large: 150w Small/Large M.2 M.2 Dual N/A Single: x4 PCIe Gen 3/Gen 4 Dual: x8 PCIe Gen 3/Gen 4 Single: 12w Dual: 20w Single: 22x110 Dual: 46x110 OAM 8x16 SerDes Lanes Typical: x16 PCIe 12V: 350w 48V: 700w 102x165 Olympus Via x16 PCIe Cards 1x16 PCIe 75W-300W PCIe AIC FHHL PCIe Tioga Pass Up to 100Gbps SH x32 PCIe Gen3 6.5x20inch Data from Ron Renwick, John Stuewe, Siamak Tavallaei, Whitney Zhao
  • 12. Consume. Collaborate. Contribute. Cross-chiplet ODSA fabric proposal 12 ArchitectureInterface
  • 13. Consume. Collaborate. Contribute. Progress Since the Last Workshop • Timeline: ⎻ ODSA Announced 10/1/18 7 companies ⎻ White Paper 12/5/18 10 companies ⎻ First Workshop 01/28/19 35 companies ⎻ Joined OCP 03/15/19 ⎻ Today 03/28/19 53 companies • PoC ⎻ Identified components, use cases • Standards ⎻ Characterizing PHY, new interface proposal • Business ⎻ Survey, business model
  • 14. Consume. Collaborate. Contribute. TIL in the last six months • We’re solving the right problem, tbd on whether it’s the right solution. • Analog (and cache coherence) engineers have lots of opinions, likely justified, but also confusing for mere mortals. • How you do business drives chiplet economics and your technology choices. • Our interface definition must recognize this diversity while focusing our effort. • You need a new business/workflow model that make chiplets work across this diversity
  • 15. Consume. Collaborate. Contribute. How to Participate Please Help! : Join a Workstream Join Interface/Standards: (Mark Kuemerle/Aaron Sullivan) Develop Packaging + Socket, Dev Board Provide FPGA IP Provide ODSA chiplets Provide PHY technology Join the PoC, Build fast: (Quinn Jacobson/Jawad Nasrullah) Join Business, IP and workflow: (Sam Fuller/Jeff McGuire) Develop software Define test and assembly workflow Provide Chiplet IP Workstream contact information at the ODSA wiki Define Architectural Interface