SlideShare une entreprise Scribd logo
1  sur  30
Confidential © HIPPEROS 2016
1
Low power high performance real-time computer
vision on a CPU+FPGA hybrid architecture with the
HIPPEROS multicore RTOS
EMVA 2017
2nd European Machine Vision Forum
Vienna, September 7th 2017
Ben Rodriguez, CEO
brodriguez@hipperos.com
www.hipperos.com
Confidential © HIPPEROS 2016
2
The Company
• HIPPEROS S.A. founded in January 2014, located at LLN and Brussels (Belgium). Only
company in Belgium specializing in High Performance Embedded Systems (HPES)
solutions (RTOS, middleware & tools)
• Spin-off of PARTS ULB, incubated by WSL, selected by ESA BIC Program with highest
marks ever in Belgium. Member of several Belgian and international clusters and poles
(Infopole, SkyWin, DSP Valley, EMVA, …)
• Very experienced management team, 20+ years of experience with record of industrial
achievements. Advisory board includes senior business executives. The HIPPEROS
team combines 50+ person-years of R&D results, with >100 published papers
• HIPPEROS Academic Partner Program for universities & R&D centers
"Intelligent Autonomy by Computer Vision,
Advanced Robotics and Sensors & Control"
Embedded & Real-Time High Performance Embedded Solutions for next
generation Smart Systems
Confidential © HIPPEROS 2016
3
Virtual Reality
Image Processing Everywhere …
Safety Critical Systems
Power Lines Monitor
Logistics &Traffic Control
Avionics
& Space
Drones & Autopilots
Robots & Exoskeletons
Medical
Navigation
& Car Safety
Confidential © HIPPEROS 2016
4
 Increasing number of sensors, amount of data, data bandwidth etc.
 Demand for high-performance, low-power and heterogeneous computing
 Build into small embedded devices where size, weight and power matter
 Embedded systems for image processing are highly specialized systems
HOW DO WE PUT A SUPERCOMPUTER INTO AN EMBEDDED BOARD
?
https://commons.wikimedia.org/wiki/File:IBM_Blue_Gene_P_supercomputer.jpg
http://edablog.com/2013/08/08/blackfin-bf609-pvp/
Challenges
Confidential © HIPPEROS 2016
5
Challenges
Intensive
Image
Processing
Embedded
Constraints
Time-to-market /
Cost-sensitive
Source: http://www.lnci.org.au
Confidential © HIPPEROS 2016
6
The TULIPP Project
HORIZON 2020 Project from 2016 to 2018 with 4.5 M€
funding to address that challenge.
Selected key partners in Europe.
Goal is ecosystem building around a generic platform.
Confidential © HIPPEROS 2016
7
 Hard Real-time Image Processing
 High Reliability (Certification)
 High Performance Computing
 Support for Hardware Acceleration
 Low Power Autonomous Devices
 Security
 Reduced Time to Market
 Reduced Development Time & Efforts
 Reduced Development Risks
 Evangelism on Low Power Embedded HPC
 Guidelines for Efficient Development
 Standardization by Generic Platforms
Requirements
Confidential © HIPPEROS 2016
8
• Real time imaging application:
– Image size:
• From 512x512 to 3196x3196 pixels
• 16bits/pixel
– Real time:
• From 4 to 100 frame/second
• Zero frames missed !
• Typically 30 to 60 Mega Pixels/second
• Low latency from read out to process / display : << 50 milliseconds
– Algorithms:
• 1st stage : Image correction : “Clean Image”
• 2nd stage : Image Processing : Application oriented
Requirements
Confidential © HIPPEROS 2016
9
TULIPP aims to push forward a reference
platform for embedded image processing
applications in order to
– Define implementation rules for
vision-based applications
– Provide guidelines for guaranteed
high performance and low power
– Reduce development time and costs
With the focus on embedded vision-based applications TULIPP will
– Set up an ecosystem
– Work closely with standardization organizations
– Propose new standards derived from the reference platform
General Goals & Structure of TULIPP
Project
Confidential © HIPPEROS 2016
10
• The goal is not to develop a fixed embedded computing platform.
• Instead, the TULIPP project aims to be generic and define
– Implementation rules and
– Interfaces
• TULIPP allows for a flexible platform for image processing applications featuring
– Low power consumption
– High, efficient computing performance
– Real-time features and latency
Concepts of TULIPP Reference Platform
Confidential © HIPPEROS 2016
11
Components of TULIPP Reference Platform
• The Tulipp project develops an instance of this reference platform consisting of three
layers
– Hardware architecture: a scalable low-power board
– Low-power, real-time operating system and image processing libraries
– Energy-aware tool chain
• Following the implementation rules a developer is able to combine different components
to create a Tulipp compliant platform
– For image processing applications
– Fast development
– Flexible yet optimized solution
Confidential © HIPPEROS 2016
12
• Hardware Architecture
– Heterogeneous Systems-on-Chip (SoCs)
• Combinations of CPU, GPU and FPGA
• Dedicated HW accelerators
• Also dedicated real-times cores
– Appropriate hardware system
• Selection of processing elements
• Interconnections of on-chip components
• Interconnections of several SoCs
– Support advanced features
• Switch-off mechanisms
• Dynamic Voltage and
Frequency Scaling (DVFS)
• Dynamic Partial Reconfiguration (DPR)
Hardware Reference Platform
Confidential © HIPPEROS 2016
13
• Hardware Architecture
– First developments with platform provided by Sundance 4-core ARM + FPGAs
• Sundance EMC2-DP Carrier
• Trenz SoM with Xilinx Zynq
http://www.sundance.technology/som-cariers/pc104-boards/
Hardware Reference Platform
Confidential © HIPPEROS 2016
14
• Requirements for Operating System and Low-Level Libraries
– Hard Real-time Operating System with APIs to
• Support low power at API level at at kernel scheduler level
• Support image processing devices and applications
– Run on instantiated processors
• Support heterogeneous multi-core systems
• Handle hardware resources (FPGAs), allows DPR of the FPGA
• Implementation of communication and synchronization
– Provide for the developer
• Real-time guarantees
• Reliability
• Easy programmability, compliance, certifiable
Real-Time Operating System
Confidential © HIPPEROS 2016
15
The HIPPEROS Solution
High Performance Parallel Embedded Real-time Operating
Systems
Multicore
RTOS
Real-Time
Operating
System
= =+ +
Reliability Real-Time Performance
20+ Years of R&D in Kernel Design, IPC, Scheduling, …
 Reliability and Hard Real Time
 Optimized Performance
 Multicore Scalability
 Small Footprint & Low power
Confidential © HIPPEROS 2016
16
• High Level Development Toolchain
– A TULIPP compliant platform may have different components from different
vendors
• Expertise required for every vendor specific tool
• Especially for optimized systems featuring high performance, low power
consumption and real-time features
– TULIPP toolchain is a set of Eclipse-based utilities to support the developer
• STHEM - Supporting uTilities for Heterogeneous EMbedded
image processing
• Wraps around, extends and connects existing vendor tools
• Seamless mapping, profiling, analyzing and optimizing an application
Toolchain
Confidential © HIPPEROS 2016
17
• Although TULIPP is Generic, some representative Use Cases have been
selected for demonstrating the potential.
– Instantiation of reference platform is use case driven
– Verification of reference platform with image processing applications
• Medical imaging
• Automotive imaging (ADAS)
• Robotic imaging (UAV)
– Different fields of embedded applications, but similar constraints
• Performance
• Power consumption
• Size, volume and cost
– Also real-time constraints
Use Cases
Confidential © HIPPEROS 2016
18
https://commons.wikimedia.org/wiki/File:113abcd_Medical_Imaging_Techniques.jpg
• Medical Imaging
– Demand for high performance yet small devices
• Requires processing of large
amount of data
• Mobile imaging equipment replacing
high-end infrastructure devices
– Demand for real-time imaging
• Fast processing of image data
during surgery
• On mobile device
– Tulipp X-Ray use case aims to provide
• Reduction of radiation dose of sensors
• More powerful image processing
• Low power since heat and other
RF emission could disturb sensors
Use Cases
Confidential © HIPPEROS 2016
19
Medical imaging use case
• Real-Time X-Ray imaging for surgery
• Reduce radiation dose by 75%
• Add noise removal processing with critical
real-time constraints
Confidential © HIPPEROS 2016
20
Medical imaging use case
TDLP
RAW IMAGE
THALES
Processing Unit
CI / ICS
UI
GigE-Vision + Msg
THALES Flat panel detector
Customer system
UI
GigE-Vision + Msg
CI / ICS
Nano Processing Unit
Inside the detector
Based on SoC (credit card size board)
Customer system
THALES Flat panel detector
Before
Tulipp
After
Tulipp
Confidential © HIPPEROS 2016
21
• Automotive Driver Assistance System (ADAS)
– Intelligent cars need more and efficient embedded devices
Image processing required for
• Driving safety
• Pedestrian safety
– More active safety systems
• Vehicle, pedestrian and object detection
• Traffic sign and lane recognition
• Night vision and surround view
• Driver monitoring
– Tulipp ADAS use case aims to provide
• Real-time, low latency high-performance
image processing
• Reliability and robustness
https://www.asdreports.com/news-10595/key-players-advanced-driver
-assistance-systems-adas-market-north-america-20152019
http://www.rcs.ei.tum.de/forschung/driver-assistance/
Use Cases
Confidential © HIPPEROS 2016
22
• The use case is taken from the many
applications that now enter our cars, doing
emergency braking, lane keeping, etc., with
direction towards autonomous cars
• The chosen image processing algorithm for the
use case is pedestrian detection that typically is
used for emergency braking and driver
assistance systems.
• Pedestrian detection is today mostly made by
either using Viola/Jones classifiers or Deep
Learning
• Viola Jones classifying has been chosen due to
its more challenging memory access patterns
ADAS Use Case
Confidential © HIPPEROS 2016
23
Pedestrian
detection
Safety
application
Car
integration
The Use Case
ADAS Use Case
Confidential © HIPPEROS 2016
24
• Autonomous Unmanned Aerial Vehicles (UAVs)
– UAVs more common for different applications
• Surveillance, search and rescue,
logistics and research
– On-board real-time processing is
key technology
• Efficient and reliable automatic
collision avoidance needed
• Opposing constraints
– The Tulipp UAV use case aims to provide
• Optimized performance-to-weight and
power-consumption-to-weight figures
• On-board stereo vision & depth estimation
• Real-time and automatic detection of
obstacles for collision avoidance
• Image recognition and identification
https://irevolutions.org/2014/03/24/launching-a-search
-and-rescue-challenge-for-drone-uav-pilots/
Use Cases
Confidential © HIPPEROS 2016
25
• VHDL synthesis from C source
• STHEM & HIPPEROS integrated with Xilinx
Vivado
• Transparence of HW/SW for developpers
• Only Software: ~4-6 FPS
• With Hardware acceleration: up to 90 fps
• Roles of HIPPEROS RTOS:
– Hard Real-Time Synchronisation SW + HW Tasks
– Low Power
– FPGA Dynamic Partial Reconfiguration
Achievements so far
Confidential © HIPPEROS 2016
26
• A main goal of the TULIPP project is to set up an ecosystem and advisory board
to extend image processing norms according to needs of the industry.
• Everyone is welcome to join and participate actively!
• Information at: http://tulipp.eu/advisory-board-letter-information
• Forum for support: http://support.tulipp.eu
• Contact: coordinator@tulipp.eu
• www.tulipp.eu
Join our Advisory Board
Confidential © HIPPEROS 2016
27
Advisory Board Members
Confidential © HIPPEROS 2016
28
TULIPP in the news
Confidential © HIPPEROS 2016
29
Thank you!
Any Questions ?
Ben Rodriguez, CEO
brodriguez@hipperos.com
www.hipperos.com
Confidential © HIPPEROS 2016
30
• Tulipp, “Tulipp: Towards Ubiquitous Low-power Image Processing Platforms – High, efficient and
guaranteed computing performance for image processing applications”, http://www.tulipp.eu, Accessed
on 11.05.2017
• A. Paolillo, O. Desenfans, V. Svoboda, J. Goossens and B. Rodriguez. A new configurable and parallel
embedded real-time micro-kernel for multi-core platforms. In Proceedings of the ECRTS Workshop on
Operating Systems Platforms for Embedded Real-Time applications (ECRTS-OSPERT ’15), July 2015
• Antonio Paolillo, Joël Goossens, Pradeep M. Hettiarachchi and Nathan Fisher. Power Minimization for
Parallel Real-Time Systems with Malleable Jobs and Homogeneous Frequencies. The 20th IEEE
International Conference on Embedded and Real-Time Computing Systems and Applications,
Chongqing, China, August 2014
• Antonio Paolillo, Paul Rodriguez, Nikita Veshchikov, Joël Goossens and Ben Rodriguez. Quantifying
Energy Consumption for Practical Fork-Join Parallelism on an Embedded Real-Time Operating System.
The 24th ACM International Conference on Real-Time Networks and Systems, Brest, France, October
2016
• Kalb, T. et al., “TULIPP: Towards Ubiquitous Low-power Image Processing Platforms”, In Proc. of the
International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation
(SAMOS XV), 2016
• Martin Cornil, Antonio Paolillo, Joël Goossens, Ben Rodriguez. Research and implementation
challenges of RTOS support for heterogeneous computing platforms. HARTS-ULB, Brussels, Belgium,
May 2017
• Tchouchenkov, I., Segor, F., Schoenbein, R., Kollmann, M., Bierhoff, T., Herbold, M., “Detection And
Protection Against Unwanted Small UAVs”, Proceedings of the Eleventh International Conference on
Systems ICONS, 2016
• Ruf, B., Schuchert, T., “Towards real-time change detection in videos based on existing 3D models”,
Proceedings of SPIE, Edinburgh, UK, 2016, vol. 10004, pp. 100041H--100041H-14
Some References

Contenu connexe

Tendances

"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,..."Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
Edge AI and Vision Alliance
 

Tendances (9)

PlantPAx system - what's new and what's next
PlantPAx system - what's new and what's nextPlantPAx system - what's new and what's next
PlantPAx system - what's new and what's next
 
Design Like a Pro: Building Mobile-Responsive HMIs in Ignition Perspective
Design Like a Pro: Building Mobile-Responsive HMIs in Ignition PerspectiveDesign Like a Pro: Building Mobile-Responsive HMIs in Ignition Perspective
Design Like a Pro: Building Mobile-Responsive HMIs in Ignition Perspective
 
"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,..."Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
"Lessons Learned from Bringing Mobile and Embedded Vision Products to Market,...
 
Securely Monitor Critical Systems From Anywhere
Securely Monitor Critical Systems From AnywhereSecurely Monitor Critical Systems From Anywhere
Securely Monitor Critical Systems From Anywhere
 
RA TechED 2019 - IN10 - What Machine Learning can do for you using FactoryTal...
RA TechED 2019 - IN10 - What Machine Learning can do for you using FactoryTal...RA TechED 2019 - IN10 - What Machine Learning can do for you using FactoryTal...
RA TechED 2019 - IN10 - What Machine Learning can do for you using FactoryTal...
 
"Multiple Uses of Pipelined Video Pre-Processor Hardware in Vision Applicatio...
"Multiple Uses of Pipelined Video Pre-Processor Hardware in Vision Applicatio..."Multiple Uses of Pipelined Video Pre-Processor Hardware in Vision Applicatio...
"Multiple Uses of Pipelined Video Pre-Processor Hardware in Vision Applicatio...
 
RA TechED 2019 - CL02 - Integrated Architecture System Software What's New
RA TechED 2019 - CL02 -  Integrated Architecture System Software What's NewRA TechED 2019 - CL02 -  Integrated Architecture System Software What's New
RA TechED 2019 - CL02 - Integrated Architecture System Software What's New
 
Building ADAS system from scratch
Building ADAS system from scratchBuilding ADAS system from scratch
Building ADAS system from scratch
 
RA TechED 2019 - PR03 - Implementation of PlantPAx Systems
RA TechED 2019 - PR03 - Implementation of PlantPAx SystemsRA TechED 2019 - PR03 - Implementation of PlantPAx Systems
RA TechED 2019 - PR03 - Implementation of PlantPAx Systems
 

Similaire à HIPPEROS's at EMVA 2017

Living objects network performance_management_v2
Living objects network performance_management_v2Living objects network performance_management_v2
Living objects network performance_management_v2
Yoan SMADJA
 

Similaire à HIPPEROS's at EMVA 2017 (20)

Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
Tulipp_H2020_Hipeac'17 Conference_PEPGUM Workshop_January 017
 
TULIPP at the 10th Intelligent Imaging Event
TULIPP at the 10th Intelligent Imaging EventTULIPP at the 10th Intelligent Imaging Event
TULIPP at the 10th Intelligent Imaging Event
 
Making Cloud Deployment A Reality For End-To-End Policy Administration
Making Cloud Deployment A Reality For End-To-End Policy AdministrationMaking Cloud Deployment A Reality For End-To-End Policy Administration
Making Cloud Deployment A Reality For End-To-End Policy Administration
 
Samos July 2016_tulipp-H2020 project presentation
Samos July 2016_tulipp-H2020 project presentationSamos July 2016_tulipp-H2020 project presentation
Samos July 2016_tulipp-H2020 project presentation
 
How to scale your PaaS with OVH infrastructure?
How to scale your PaaS with OVH infrastructure?How to scale your PaaS with OVH infrastructure?
How to scale your PaaS with OVH infrastructure?
 
Virtualization and hypervisor solutions for mixed-criticality systems based o...
Virtualization and hypervisor solutions for mixed-criticality systems based o...Virtualization and hypervisor solutions for mixed-criticality systems based o...
Virtualization and hypervisor solutions for mixed-criticality systems based o...
 
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
TULIPP H2020 Project presentation @ FPGA Network: Implementing Machine Vision...
 
TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17
 
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
 
"The OpenVX Hardware Acceleration API for Embedded Vision Applications and Li...
"The OpenVX Hardware Acceleration API for Embedded Vision Applications and Li..."The OpenVX Hardware Acceleration API for Embedded Vision Applications and Li...
"The OpenVX Hardware Acceleration API for Embedded Vision Applications and Li...
 
Case study: How Cozy Cloud monitors every layer of its activity using OVH Met...
Case study: How Cozy Cloud monitors every layer of its activity using OVH Met...Case study: How Cozy Cloud monitors every layer of its activity using OVH Met...
Case study: How Cozy Cloud monitors every layer of its activity using OVH Met...
 
Nimbix HPC Cloud Deck - 2015
Nimbix HPC Cloud Deck - 2015Nimbix HPC Cloud Deck - 2015
Nimbix HPC Cloud Deck - 2015
 
Manage a hybrid enterprise application architecture
Manage a hybrid enterprise application architectureManage a hybrid enterprise application architecture
Manage a hybrid enterprise application architecture
 
Living objects network performance_management_v2
Living objects network performance_management_v2Living objects network performance_management_v2
Living objects network performance_management_v2
 
Hpc in the cloud meetup 19 march 2019
Hpc in the cloud   meetup 19 march 2019Hpc in the cloud   meetup 19 march 2019
Hpc in the cloud meetup 19 march 2019
 
Fg v1r1
Fg v1r1Fg v1r1
Fg v1r1
 
Виктор Ерухимов Open VX mixar moscow sept'15
Виктор Ерухимов Open VX  mixar moscow sept'15 Виктор Ерухимов Open VX  mixar moscow sept'15
Виктор Ерухимов Open VX mixar moscow sept'15
 
Webinar: Burst ANSYS Workloads to the Cloud with Univa & UberCloud
Webinar: Burst ANSYS Workloads to the Cloud with Univa & UberCloudWebinar: Burst ANSYS Workloads to the Cloud with Univa & UberCloud
Webinar: Burst ANSYS Workloads to the Cloud with Univa & UberCloud
 
AppSphere 15 - Performance and Scalability Optimizations - Xerox Government H...
AppSphere 15 - Performance and Scalability Optimizations - Xerox Government H...AppSphere 15 - Performance and Scalability Optimizations - Xerox Government H...
AppSphere 15 - Performance and Scalability Optimizations - Xerox Government H...
 

Plus de Tulipp. Eu

Plus de Tulipp. Eu (14)

What are TULIPP starter kits?
What are TULIPP starter kits?What are TULIPP starter kits?
What are TULIPP starter kits?
 
Quantifying Energy Consumption for Practical Fork-Join Parallelism on an Embe...
Quantifying Energy Consumption for Practical Fork-Join Parallelism on an Embe...Quantifying Energy Consumption for Practical Fork-Join Parallelism on an Embe...
Quantifying Energy Consumption for Practical Fork-Join Parallelism on an Embe...
 
TULIPP overview
TULIPP overviewTULIPP overview
TULIPP overview
 
HiPEAC 2019 Workshop - Vision Processing
HiPEAC 2019 Workshop - Vision ProcessingHiPEAC 2019 Workshop - Vision Processing
HiPEAC 2019 Workshop - Vision Processing
 
HiPEAC 2019 Workshop - Real-Time Modelling Visual Scenes with Biological Insp...
HiPEAC 2019 Workshop - Real-Time Modelling Visual Scenes with Biological Insp...HiPEAC 2019 Workshop - Real-Time Modelling Visual Scenes with Biological Insp...
HiPEAC 2019 Workshop - Real-Time Modelling Visual Scenes with Biological Insp...
 
HiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOSHiPEAC 2019 Tutorial - Maestro RTOS
HiPEAC 2019 Tutorial - Maestro RTOS
 
HiPEAC 2019 Tutorial - Image Processing Library:HiFlipVX
HiPEAC 2019 Tutorial - Image Processing Library:HiFlipVXHiPEAC 2019 Tutorial - Image Processing Library:HiFlipVX
HiPEAC 2019 Tutorial - Image Processing Library:HiFlipVX
 
HiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overviewHiPEAC 2019 Tutorial - Sthem overview
HiPEAC 2019 Tutorial - Sthem overview
 
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
 
HiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop OverviewHiPEAC 2019 Workshop Overview
HiPEAC 2019 Workshop Overview
 
HiPEAC 2019 Workshop - Use Cases
HiPEAC 2019 Workshop - Use CasesHiPEAC 2019 Workshop - Use Cases
HiPEAC 2019 Workshop - Use Cases
 
Tulipp starter-kit-agri
Tulipp starter-kit-agriTulipp starter-kit-agri
Tulipp starter-kit-agri
 
D1.1 reference platform_v1_20161215
D1.1 reference platform_v1_20161215D1.1 reference platform_v1_20161215
D1.1 reference platform_v1_20161215
 
Tulipp collaboration Workshop - Advanced Computing and CPS - June 2016
Tulipp collaboration Workshop - Advanced Computing and CPS - June 2016Tulipp collaboration Workshop - Advanced Computing and CPS - June 2016
Tulipp collaboration Workshop - Advanced Computing and CPS - June 2016
 

Dernier

Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Kandungan 087776558899
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
amitlee9823
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 

Dernier (20)

chapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineeringchapter 5.pptx: drainage and irrigation engineering
chapter 5.pptx: drainage and irrigation engineering
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Block diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.pptBlock diagram reduction techniques in control systems.ppt
Block diagram reduction techniques in control systems.ppt
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
 
Intro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdfIntro To Electric Vehicles PDF Notes.pdf
Intro To Electric Vehicles PDF Notes.pdf
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night StandCall Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
Call Girls In Bangalore ☎ 7737669865 🥵 Book Your One night Stand
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
Unit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdfUnit 1 - Soil Classification and Compaction.pdf
Unit 1 - Soil Classification and Compaction.pdf
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
VIP Model Call Girls Kothrud ( Pune ) Call ON 8005736733 Starting From 5K to ...
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 

HIPPEROS's at EMVA 2017

  • 1. Confidential © HIPPEROS 2016 1 Low power high performance real-time computer vision on a CPU+FPGA hybrid architecture with the HIPPEROS multicore RTOS EMVA 2017 2nd European Machine Vision Forum Vienna, September 7th 2017 Ben Rodriguez, CEO brodriguez@hipperos.com www.hipperos.com
  • 2. Confidential © HIPPEROS 2016 2 The Company • HIPPEROS S.A. founded in January 2014, located at LLN and Brussels (Belgium). Only company in Belgium specializing in High Performance Embedded Systems (HPES) solutions (RTOS, middleware & tools) • Spin-off of PARTS ULB, incubated by WSL, selected by ESA BIC Program with highest marks ever in Belgium. Member of several Belgian and international clusters and poles (Infopole, SkyWin, DSP Valley, EMVA, …) • Very experienced management team, 20+ years of experience with record of industrial achievements. Advisory board includes senior business executives. The HIPPEROS team combines 50+ person-years of R&D results, with >100 published papers • HIPPEROS Academic Partner Program for universities & R&D centers "Intelligent Autonomy by Computer Vision, Advanced Robotics and Sensors & Control" Embedded & Real-Time High Performance Embedded Solutions for next generation Smart Systems
  • 3. Confidential © HIPPEROS 2016 3 Virtual Reality Image Processing Everywhere … Safety Critical Systems Power Lines Monitor Logistics &Traffic Control Avionics & Space Drones & Autopilots Robots & Exoskeletons Medical Navigation & Car Safety
  • 4. Confidential © HIPPEROS 2016 4  Increasing number of sensors, amount of data, data bandwidth etc.  Demand for high-performance, low-power and heterogeneous computing  Build into small embedded devices where size, weight and power matter  Embedded systems for image processing are highly specialized systems HOW DO WE PUT A SUPERCOMPUTER INTO AN EMBEDDED BOARD ? https://commons.wikimedia.org/wiki/File:IBM_Blue_Gene_P_supercomputer.jpg http://edablog.com/2013/08/08/blackfin-bf609-pvp/ Challenges
  • 5. Confidential © HIPPEROS 2016 5 Challenges Intensive Image Processing Embedded Constraints Time-to-market / Cost-sensitive Source: http://www.lnci.org.au
  • 6. Confidential © HIPPEROS 2016 6 The TULIPP Project HORIZON 2020 Project from 2016 to 2018 with 4.5 M€ funding to address that challenge. Selected key partners in Europe. Goal is ecosystem building around a generic platform.
  • 7. Confidential © HIPPEROS 2016 7  Hard Real-time Image Processing  High Reliability (Certification)  High Performance Computing  Support for Hardware Acceleration  Low Power Autonomous Devices  Security  Reduced Time to Market  Reduced Development Time & Efforts  Reduced Development Risks  Evangelism on Low Power Embedded HPC  Guidelines for Efficient Development  Standardization by Generic Platforms Requirements
  • 8. Confidential © HIPPEROS 2016 8 • Real time imaging application: – Image size: • From 512x512 to 3196x3196 pixels • 16bits/pixel – Real time: • From 4 to 100 frame/second • Zero frames missed ! • Typically 30 to 60 Mega Pixels/second • Low latency from read out to process / display : << 50 milliseconds – Algorithms: • 1st stage : Image correction : “Clean Image” • 2nd stage : Image Processing : Application oriented Requirements
  • 9. Confidential © HIPPEROS 2016 9 TULIPP aims to push forward a reference platform for embedded image processing applications in order to – Define implementation rules for vision-based applications – Provide guidelines for guaranteed high performance and low power – Reduce development time and costs With the focus on embedded vision-based applications TULIPP will – Set up an ecosystem – Work closely with standardization organizations – Propose new standards derived from the reference platform General Goals & Structure of TULIPP Project
  • 10. Confidential © HIPPEROS 2016 10 • The goal is not to develop a fixed embedded computing platform. • Instead, the TULIPP project aims to be generic and define – Implementation rules and – Interfaces • TULIPP allows for a flexible platform for image processing applications featuring – Low power consumption – High, efficient computing performance – Real-time features and latency Concepts of TULIPP Reference Platform
  • 11. Confidential © HIPPEROS 2016 11 Components of TULIPP Reference Platform • The Tulipp project develops an instance of this reference platform consisting of three layers – Hardware architecture: a scalable low-power board – Low-power, real-time operating system and image processing libraries – Energy-aware tool chain • Following the implementation rules a developer is able to combine different components to create a Tulipp compliant platform – For image processing applications – Fast development – Flexible yet optimized solution
  • 12. Confidential © HIPPEROS 2016 12 • Hardware Architecture – Heterogeneous Systems-on-Chip (SoCs) • Combinations of CPU, GPU and FPGA • Dedicated HW accelerators • Also dedicated real-times cores – Appropriate hardware system • Selection of processing elements • Interconnections of on-chip components • Interconnections of several SoCs – Support advanced features • Switch-off mechanisms • Dynamic Voltage and Frequency Scaling (DVFS) • Dynamic Partial Reconfiguration (DPR) Hardware Reference Platform
  • 13. Confidential © HIPPEROS 2016 13 • Hardware Architecture – First developments with platform provided by Sundance 4-core ARM + FPGAs • Sundance EMC2-DP Carrier • Trenz SoM with Xilinx Zynq http://www.sundance.technology/som-cariers/pc104-boards/ Hardware Reference Platform
  • 14. Confidential © HIPPEROS 2016 14 • Requirements for Operating System and Low-Level Libraries – Hard Real-time Operating System with APIs to • Support low power at API level at at kernel scheduler level • Support image processing devices and applications – Run on instantiated processors • Support heterogeneous multi-core systems • Handle hardware resources (FPGAs), allows DPR of the FPGA • Implementation of communication and synchronization – Provide for the developer • Real-time guarantees • Reliability • Easy programmability, compliance, certifiable Real-Time Operating System
  • 15. Confidential © HIPPEROS 2016 15 The HIPPEROS Solution High Performance Parallel Embedded Real-time Operating Systems Multicore RTOS Real-Time Operating System = =+ + Reliability Real-Time Performance 20+ Years of R&D in Kernel Design, IPC, Scheduling, …  Reliability and Hard Real Time  Optimized Performance  Multicore Scalability  Small Footprint & Low power
  • 16. Confidential © HIPPEROS 2016 16 • High Level Development Toolchain – A TULIPP compliant platform may have different components from different vendors • Expertise required for every vendor specific tool • Especially for optimized systems featuring high performance, low power consumption and real-time features – TULIPP toolchain is a set of Eclipse-based utilities to support the developer • STHEM - Supporting uTilities for Heterogeneous EMbedded image processing • Wraps around, extends and connects existing vendor tools • Seamless mapping, profiling, analyzing and optimizing an application Toolchain
  • 17. Confidential © HIPPEROS 2016 17 • Although TULIPP is Generic, some representative Use Cases have been selected for demonstrating the potential. – Instantiation of reference platform is use case driven – Verification of reference platform with image processing applications • Medical imaging • Automotive imaging (ADAS) • Robotic imaging (UAV) – Different fields of embedded applications, but similar constraints • Performance • Power consumption • Size, volume and cost – Also real-time constraints Use Cases
  • 18. Confidential © HIPPEROS 2016 18 https://commons.wikimedia.org/wiki/File:113abcd_Medical_Imaging_Techniques.jpg • Medical Imaging – Demand for high performance yet small devices • Requires processing of large amount of data • Mobile imaging equipment replacing high-end infrastructure devices – Demand for real-time imaging • Fast processing of image data during surgery • On mobile device – Tulipp X-Ray use case aims to provide • Reduction of radiation dose of sensors • More powerful image processing • Low power since heat and other RF emission could disturb sensors Use Cases
  • 19. Confidential © HIPPEROS 2016 19 Medical imaging use case • Real-Time X-Ray imaging for surgery • Reduce radiation dose by 75% • Add noise removal processing with critical real-time constraints
  • 20. Confidential © HIPPEROS 2016 20 Medical imaging use case TDLP RAW IMAGE THALES Processing Unit CI / ICS UI GigE-Vision + Msg THALES Flat panel detector Customer system UI GigE-Vision + Msg CI / ICS Nano Processing Unit Inside the detector Based on SoC (credit card size board) Customer system THALES Flat panel detector Before Tulipp After Tulipp
  • 21. Confidential © HIPPEROS 2016 21 • Automotive Driver Assistance System (ADAS) – Intelligent cars need more and efficient embedded devices Image processing required for • Driving safety • Pedestrian safety – More active safety systems • Vehicle, pedestrian and object detection • Traffic sign and lane recognition • Night vision and surround view • Driver monitoring – Tulipp ADAS use case aims to provide • Real-time, low latency high-performance image processing • Reliability and robustness https://www.asdreports.com/news-10595/key-players-advanced-driver -assistance-systems-adas-market-north-america-20152019 http://www.rcs.ei.tum.de/forschung/driver-assistance/ Use Cases
  • 22. Confidential © HIPPEROS 2016 22 • The use case is taken from the many applications that now enter our cars, doing emergency braking, lane keeping, etc., with direction towards autonomous cars • The chosen image processing algorithm for the use case is pedestrian detection that typically is used for emergency braking and driver assistance systems. • Pedestrian detection is today mostly made by either using Viola/Jones classifiers or Deep Learning • Viola Jones classifying has been chosen due to its more challenging memory access patterns ADAS Use Case
  • 23. Confidential © HIPPEROS 2016 23 Pedestrian detection Safety application Car integration The Use Case ADAS Use Case
  • 24. Confidential © HIPPEROS 2016 24 • Autonomous Unmanned Aerial Vehicles (UAVs) – UAVs more common for different applications • Surveillance, search and rescue, logistics and research – On-board real-time processing is key technology • Efficient and reliable automatic collision avoidance needed • Opposing constraints – The Tulipp UAV use case aims to provide • Optimized performance-to-weight and power-consumption-to-weight figures • On-board stereo vision & depth estimation • Real-time and automatic detection of obstacles for collision avoidance • Image recognition and identification https://irevolutions.org/2014/03/24/launching-a-search -and-rescue-challenge-for-drone-uav-pilots/ Use Cases
  • 25. Confidential © HIPPEROS 2016 25 • VHDL synthesis from C source • STHEM & HIPPEROS integrated with Xilinx Vivado • Transparence of HW/SW for developpers • Only Software: ~4-6 FPS • With Hardware acceleration: up to 90 fps • Roles of HIPPEROS RTOS: – Hard Real-Time Synchronisation SW + HW Tasks – Low Power – FPGA Dynamic Partial Reconfiguration Achievements so far
  • 26. Confidential © HIPPEROS 2016 26 • A main goal of the TULIPP project is to set up an ecosystem and advisory board to extend image processing norms according to needs of the industry. • Everyone is welcome to join and participate actively! • Information at: http://tulipp.eu/advisory-board-letter-information • Forum for support: http://support.tulipp.eu • Contact: coordinator@tulipp.eu • www.tulipp.eu Join our Advisory Board
  • 27. Confidential © HIPPEROS 2016 27 Advisory Board Members
  • 28. Confidential © HIPPEROS 2016 28 TULIPP in the news
  • 29. Confidential © HIPPEROS 2016 29 Thank you! Any Questions ? Ben Rodriguez, CEO brodriguez@hipperos.com www.hipperos.com
  • 30. Confidential © HIPPEROS 2016 30 • Tulipp, “Tulipp: Towards Ubiquitous Low-power Image Processing Platforms – High, efficient and guaranteed computing performance for image processing applications”, http://www.tulipp.eu, Accessed on 11.05.2017 • A. Paolillo, O. Desenfans, V. Svoboda, J. Goossens and B. Rodriguez. A new configurable and parallel embedded real-time micro-kernel for multi-core platforms. In Proceedings of the ECRTS Workshop on Operating Systems Platforms for Embedded Real-Time applications (ECRTS-OSPERT ’15), July 2015 • Antonio Paolillo, Joël Goossens, Pradeep M. Hettiarachchi and Nathan Fisher. Power Minimization for Parallel Real-Time Systems with Malleable Jobs and Homogeneous Frequencies. The 20th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 2014 • Antonio Paolillo, Paul Rodriguez, Nikita Veshchikov, Joël Goossens and Ben Rodriguez. Quantifying Energy Consumption for Practical Fork-Join Parallelism on an Embedded Real-Time Operating System. The 24th ACM International Conference on Real-Time Networks and Systems, Brest, France, October 2016 • Kalb, T. et al., “TULIPP: Towards Ubiquitous Low-power Image Processing Platforms”, In Proc. of the International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS XV), 2016 • Martin Cornil, Antonio Paolillo, Joël Goossens, Ben Rodriguez. Research and implementation challenges of RTOS support for heterogeneous computing platforms. HARTS-ULB, Brussels, Belgium, May 2017 • Tchouchenkov, I., Segor, F., Schoenbein, R., Kollmann, M., Bierhoff, T., Herbold, M., “Detection And Protection Against Unwanted Small UAVs”, Proceedings of the Eleventh International Conference on Systems ICONS, 2016 • Ruf, B., Schuchert, T., “Towards real-time change detection in videos based on existing 3D models”, Proceedings of SPIE, Edinburgh, UK, 2016, vol. 10004, pp. 100041H--100041H-14 Some References