SlideShare une entreprise Scribd logo
1  sur  23
Chip-Package-System (CPS)Co-Design Verification Ronen Stilkol, Apache Design Solutions  Chipex 2011 Track D: Power Management & Signal Integrity
What is Chip-Package-System? Chip-Package-System Sign-off Power, Thermal, Timing, EMI
Chip-Package-System (CPS) vdd_d vdd_a gnd_d gnd_a Board
Technology Impact on CPS Issues 65nm vs 45nm 45nm ,28nm vs 65nm  28nm : 65nm  65nm 45nm 45nm : 65nm  Buffer di/dt Ratio Relative Decap Relative ESR Buffer size Decap size Decap is less effective at advanced technologies Advanced technologies show more Di/Dt One die modeling is critical for CPS
Concurrent Chip-Package-System Design Zin Package Board Transient Analysis of entire system level PDN network Traditional view of chip is black box or simplistic model Signal Integrity analysis of high speed signals Detail Model of Chip allow concurrent system-package-die SI&PI analysis Impedance Analysis of entire system level PDN network
Chip-Package-System (CPS) 1.8V 1.2V VRM CHIP 2 Package Board Board Board Board Board Board
Chip-Package-System (CPS) 1.8V 1.2V VRM CHIP 2 Package Board Board
Chip-Package-System (CPS) 1.8V 1.2V Chip Power Model + Package Extraction+ PCB/Board Extraction VRM CHIP 2 Package EMI Noise Power Integrity Thermal Integrity Power Delivery Network Impedance Cost Control (low cost market and/or high volume) Board Board
Chip-Package-System (CPS) AC Analysis Dynamic Voltage Drop Red:  Chip + Pkg analysis Green: Chip + Pkg+ PCB analysis With Package Model Without Package Model Models of the Chip, Package and PCB are necessary  for an accurate result.
Chip-Package-System (CPS) EMI/EMC Analysis Package/PCB EMI Map Chip Emissions Necessary to model the noise source (Chip) and propagation medium (Package/PCB) 5th harmonic 2nd harmonic SSO Timing Analysis
Model-Based CPS Convergence L Metal R Metal R Pkg L PCB R PCB Leaf Tx Global PDNview VRM C4 PG  Bump On die Decap On Boarddecap C Metal C Pkg SoC Designersview PCB/Pkg RLC,  S parameter L Metal R Metal Leaf Tx C4 PG  Bump On die Decap C Metal PCB Designersview CPM R Pkg L PCB R PCB VRM C4 PG  Bump On Boarddecap Chip Power Model C Pkg Only Common reference point
Chip Power Model (CPM™) ,[object Object],Model creation ,[object Object],Package/BoardModel ,[object Object]
 DC to multi-GHz validity
 Advanced chip excitation modes
Full chip correlationASICVendors System Houses Chip PowerModel Two sides, co-verification
Chip Power Model (CPM) CHIP DATA Layout(Early to Sign-off) Library CHIP ANALYSIS Dynamic VectorLess Dynamic VCD Static Chip Power Model Static (Iavg, R) Frequency domain (RLC) Time-domain (I(t), RLC) Modes
Chip Power Model (CPM) PCB + Package Pads/bumps (Power & Ground) need to be associated to its corresponding ,[object Object],	Physical model of chip layout ,[object Object],	Electrical model of chip layout
Chip Power Model (CPM) Each port (or bump) reflects the current flow associated with that port (or bump) reflecting the on-die activity Parasitics are associated with every port (or bump) Each port (or bump) are coupled with every other port Active Current Signature Passive RC Values
Detailed Chip Power Model Advantage  Detailed Chip Power Model Detailed Chip Power Model Traditional DieModel Traditional Die Model Chip Power Model Design Layout Library Chip Current ,[object Object]
Distributed with full couplingsChip Parasitics Single Lumped Model
Chip Power Model : Parasitic Model Benefits Traditional approaches to modeling the chip parasitics: ,[object Object]
 Hand-calculated estimates Limited coverage   Pooraccuracy, especially for large, multi-domain designs CPM advantages ,[object Object]
 Captures all chip capacitive effects (PDN, device, signal net)

Contenu connexe

Tendances

Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
JITENDER -
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
Subash John
 
EMIR.pdf
EMIR.pdfEMIR.pdf
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar report
rahul kumar verma
 

Tendances (20)

PCB Layout Fundamentals
PCB Layout FundamentalsPCB Layout Fundamentals
PCB Layout Fundamentals
 
Class 27 signal processing techniques for the future smart grid.pdf
Class 27 signal processing techniques for the future smart grid.pdfClass 27 signal processing techniques for the future smart grid.pdf
Class 27 signal processing techniques for the future smart grid.pdf
 
Signal Integrity (SI glitch)
Signal Integrity (SI glitch)Signal Integrity (SI glitch)
Signal Integrity (SI glitch)
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Intelligent sensor
Intelligent sensorIntelligent sensor
Intelligent sensor
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
FPGA in outer space seminar report
FPGA in outer space seminar reportFPGA in outer space seminar report
FPGA in outer space seminar report
 
lvs ppt.pptx
lvs ppt.pptxlvs ppt.pptx
lvs ppt.pptx
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Wireless Body Area Network
Wireless Body Area NetworkWireless Body Area Network
Wireless Body Area Network
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
Interconnect Parameter in Digital VLSI Design
Interconnect Parameter in Digital VLSI DesignInterconnect Parameter in Digital VLSI Design
Interconnect Parameter in Digital VLSI Design
 
Memristor
MemristorMemristor
Memristor
 
Rs232 485 fundamental
Rs232 485 fundamentalRs232 485 fundamental
Rs232 485 fundamental
 
High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis High speed-pcb-board-design-and-analysis
High speed-pcb-board-design-and-analysis
 
Calibre
CalibreCalibre
Calibre
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Cell Phone Controlled Home Automation System using DTMF Technology
Cell Phone Controlled Home Automation System using DTMF TechnologyCell Phone Controlled Home Automation System using DTMF Technology
Cell Phone Controlled Home Automation System using DTMF Technology
 

En vedette

Track c how do we break - jasper
Track c   how do we break - jasperTrack c   how do we break - jasper
Track c how do we break - jasper
chiportal
 
Ic packaging :encapsulation
Ic packaging :encapsulationIc packaging :encapsulation
Ic packaging :encapsulation
Hoang Tien
 
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsu
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsuProson searching 夥伴會議1st_東南亞_20100627_connie_hsu
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsu
SeanTsai33
 
Package fabrication technolog ynew
Package fabrication technolog ynewPackage fabrication technolog ynew
Package fabrication technolog ynew
prashant singh
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
Yole Developpement
 

En vedette (15)

Track c how do we break - jasper
Track c   how do we break - jasperTrack c   how do we break - jasper
Track c how do we break - jasper
 
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package ConvergenceANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
ANSYS RedHawk-CPA: New Paradigm for Faster Chip-Package Convergence
 
電路學第七章 交流穩態分析
電路學第七章 交流穩態分析電路學第七章 交流穩態分析
電路學第七章 交流穩態分析
 
.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP Reliability.3mm CSP and LFCSP Reliability
.3mm CSP and LFCSP Reliability
 
Ic packaging :encapsulation
Ic packaging :encapsulationIc packaging :encapsulation
Ic packaging :encapsulation
 
Manufacturability & reliability challenges with qfn
Manufacturability & reliability challenges with qfn Manufacturability & reliability challenges with qfn
Manufacturability & reliability challenges with qfn
 
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsu
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsuProson searching 夥伴會議1st_東南亞_20100627_connie_hsu
Proson searching 夥伴會議1st_東南亞_20100627_connie_hsu
 
DfR Advanced Packaging
DfR Advanced PackagingDfR Advanced Packaging
DfR Advanced Packaging
 
Basics of Bonding Wire Manufacturing
Basics of Bonding Wire ManufacturingBasics of Bonding Wire Manufacturing
Basics of Bonding Wire Manufacturing
 
Package fabrication technolog ynew
Package fabrication technolog ynewPackage fabrication technolog ynew
Package fabrication technolog ynew
 
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
glass substrates for semiconductor manufacturing 2013 Report by Yole Developp...
 
Plastic package reliability
Plastic package reliabilityPlastic package reliability
Plastic package reliability
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 
Thermo-Mechanical Simulation of Through Silicon Stack Assembly
Thermo-Mechanical Simulation of Through Silicon Stack AssemblyThermo-Mechanical Simulation of Through Silicon Stack Assembly
Thermo-Mechanical Simulation of Through Silicon Stack Assembly
 
Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢
 

Similaire à Chip package system apache - publish version

Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5
Steen Larsen
 
Usb Controlled Function Generator
Usb Controlled Function GeneratorUsb Controlled Function Generator
Usb Controlled Function Generator
Kent Schonert
 
PhD presentation Stepan Sutula
PhD presentation Stepan SutulaPhD presentation Stepan Sutula
PhD presentation Stepan Sutula
Stepan Sutula
 
Exploring hybrid memory for gpu energy efficiency through software hardware c...
Exploring hybrid memory for gpu energy efficiency through software hardware c...Exploring hybrid memory for gpu energy efficiency through software hardware c...
Exploring hybrid memory for gpu energy efficiency through software hardware c...
Cheng-Hsuan Li
 
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_lastSlow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Hany Fahmy
 

Similaire à Chip package system apache - publish version (20)

Snapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 ArchitectureSnapdragon SoC and ARMv7 Architecture
Snapdragon SoC and ARMv7 Architecture
 
Steen_Dissertation_March5
Steen_Dissertation_March5Steen_Dissertation_March5
Steen_Dissertation_March5
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
Usb Controlled Function Generator
Usb Controlled Function GeneratorUsb Controlled Function Generator
Usb Controlled Function Generator
 
PhD presentation Stepan Sutula
PhD presentation Stepan SutulaPhD presentation Stepan Sutula
PhD presentation Stepan Sutula
 
Microelectronics U4.pptx.ppt
Microelectronics U4.pptx.pptMicroelectronics U4.pptx.ppt
Microelectronics U4.pptx.ppt
 
PCM3168A/PCM3168A-Q1 Audio Codec
PCM3168A/PCM3168A-Q1 Audio CodecPCM3168A/PCM3168A-Q1 Audio Codec
PCM3168A/PCM3168A-Q1 Audio Codec
 
Exploring hybrid memory for gpu energy efficiency through software hardware c...
Exploring hybrid memory for gpu energy efficiency through software hardware c...Exploring hybrid memory for gpu energy efficiency through software hardware c...
Exploring hybrid memory for gpu energy efficiency through software hardware c...
 
Getting to Know the R8C/2A, 2B Group MCUs
Getting to Know the R8C/2A, 2B Group MCUs Getting to Know the R8C/2A, 2B Group MCUs
Getting to Know the R8C/2A, 2B Group MCUs
 
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYAN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGY
 
Real Time System Validation using Hardware in Loop (HIL) Digital Platform
Real Time System Validation using Hardware in Loop (HIL) Digital PlatformReal Time System Validation using Hardware in Loop (HIL) Digital Platform
Real Time System Validation using Hardware in Loop (HIL) Digital Platform
 
Sushant
SushantSushant
Sushant
 
Understanding DPDK
Understanding DPDKUnderstanding DPDK
Understanding DPDK
 
Scaling Down Instrumentation Deploying Analog Mixed Signal Technology
Scaling Down Instrumentation Deploying Analog Mixed Signal TechnologyScaling Down Instrumentation Deploying Analog Mixed Signal Technology
Scaling Down Instrumentation Deploying Analog Mixed Signal Technology
 
LTE Air Interface
LTE Air InterfaceLTE Air Interface
LTE Air Interface
 
Techni Sat Sky Star 2 Specs
Techni Sat Sky Star 2 SpecsTechni Sat Sky Star 2 Specs
Techni Sat Sky Star 2 Specs
 
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_lastSlow dancing pdn on memory-controller-packages may-10th_2012_hf_last
Slow dancing pdn on memory-controller-packages may-10th_2012_hf_last
 
3D-DRESD ASIDA
3D-DRESD ASIDA3D-DRESD ASIDA
3D-DRESD ASIDA
 
OPAL-RT Induction machine & power electronic test system on FPGA
OPAL-RT Induction machine & power electronic test system on FPGAOPAL-RT Induction machine & power electronic test system on FPGA
OPAL-RT Induction machine & power electronic test system on FPGA
 

Plus de chiportal

Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
chiportal
 

Plus de chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Dernier

Dernier (20)

Food safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdfFood safety_Challenges food safety laboratories_.pdf
Food safety_Challenges food safety laboratories_.pdf
 
How to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POSHow to Manage Global Discount in Odoo 17 POS
How to Manage Global Discount in Odoo 17 POS
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)Jamworks pilot and AI at Jisc (20/03/2024)
Jamworks pilot and AI at Jisc (20/03/2024)
 
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptxCOMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
COMMUNICATING NEGATIVE NEWS - APPROACHES .pptx
 
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptxHMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
HMCS Max Bernays Pre-Deployment Brief (May 2024).pptx
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
80 ĐỀ THI THỬ TUYỂN SINH TIẾNG ANH VÀO 10 SỞ GD – ĐT THÀNH PHỐ HỒ CHÍ MINH NĂ...
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptxOn_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
On_Translating_a_Tamil_Poem_by_A_K_Ramanujan.pptx
 
SOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning PresentationSOC 101 Demonstration of Learning Presentation
SOC 101 Demonstration of Learning Presentation
 
Graduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - EnglishGraduate Outcomes Presentation Slides - English
Graduate Outcomes Presentation Slides - English
 
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdfUnit 3 Emotional Intelligence and Spiritual Intelligence.pdf
Unit 3 Emotional Intelligence and Spiritual Intelligence.pdf
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
Plant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptxPlant propagation: Sexual and Asexual propapagation.pptx
Plant propagation: Sexual and Asexual propapagation.pptx
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptx
 
Sociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning ExhibitSociology 101 Demonstration of Learning Exhibit
Sociology 101 Demonstration of Learning Exhibit
 

Chip package system apache - publish version

  • 1. Chip-Package-System (CPS)Co-Design Verification Ronen Stilkol, Apache Design Solutions Chipex 2011 Track D: Power Management & Signal Integrity
  • 2. What is Chip-Package-System? Chip-Package-System Sign-off Power, Thermal, Timing, EMI
  • 3. Chip-Package-System (CPS) vdd_d vdd_a gnd_d gnd_a Board
  • 4. Technology Impact on CPS Issues 65nm vs 45nm 45nm ,28nm vs 65nm 28nm : 65nm 65nm 45nm 45nm : 65nm Buffer di/dt Ratio Relative Decap Relative ESR Buffer size Decap size Decap is less effective at advanced technologies Advanced technologies show more Di/Dt One die modeling is critical for CPS
  • 5. Concurrent Chip-Package-System Design Zin Package Board Transient Analysis of entire system level PDN network Traditional view of chip is black box or simplistic model Signal Integrity analysis of high speed signals Detail Model of Chip allow concurrent system-package-die SI&PI analysis Impedance Analysis of entire system level PDN network
  • 6. Chip-Package-System (CPS) 1.8V 1.2V VRM CHIP 2 Package Board Board Board Board Board Board
  • 7. Chip-Package-System (CPS) 1.8V 1.2V VRM CHIP 2 Package Board Board
  • 8. Chip-Package-System (CPS) 1.8V 1.2V Chip Power Model + Package Extraction+ PCB/Board Extraction VRM CHIP 2 Package EMI Noise Power Integrity Thermal Integrity Power Delivery Network Impedance Cost Control (low cost market and/or high volume) Board Board
  • 9. Chip-Package-System (CPS) AC Analysis Dynamic Voltage Drop Red: Chip + Pkg analysis Green: Chip + Pkg+ PCB analysis With Package Model Without Package Model Models of the Chip, Package and PCB are necessary for an accurate result.
  • 10. Chip-Package-System (CPS) EMI/EMC Analysis Package/PCB EMI Map Chip Emissions Necessary to model the noise source (Chip) and propagation medium (Package/PCB) 5th harmonic 2nd harmonic SSO Timing Analysis
  • 11. Model-Based CPS Convergence L Metal R Metal R Pkg L PCB R PCB Leaf Tx Global PDNview VRM C4 PG Bump On die Decap On Boarddecap C Metal C Pkg SoC Designersview PCB/Pkg RLC, S parameter L Metal R Metal Leaf Tx C4 PG Bump On die Decap C Metal PCB Designersview CPM R Pkg L PCB R PCB VRM C4 PG Bump On Boarddecap Chip Power Model C Pkg Only Common reference point
  • 12.
  • 13. DC to multi-GHz validity
  • 14. Advanced chip excitation modes
  • 15. Full chip correlationASICVendors System Houses Chip PowerModel Two sides, co-verification
  • 16. Chip Power Model (CPM) CHIP DATA Layout(Early to Sign-off) Library CHIP ANALYSIS Dynamic VectorLess Dynamic VCD Static Chip Power Model Static (Iavg, R) Frequency domain (RLC) Time-domain (I(t), RLC) Modes
  • 17.
  • 18. Chip Power Model (CPM) Each port (or bump) reflects the current flow associated with that port (or bump) reflecting the on-die activity Parasitics are associated with every port (or bump) Each port (or bump) are coupled with every other port Active Current Signature Passive RC Values
  • 19.
  • 20. Distributed with full couplingsChip Parasitics Single Lumped Model
  • 21.
  • 22.
  • 23. Captures all chip capacitive effects (PDN, device, signal net)
  • 24. Resistive and inductive shielding of die capacitances
  • 25.
  • 26. Noise in Power Delivery Network (PDN) 4 major noise signatures in PDN High Low Mid High frequency noise: 10’s GHz range Die Local Low frequency noise: MHz range Board Socket Package Global Mid frequency noise: 10’s MHz range Package Die Global Very low frequency noise: kHz range Voltage Regulator Board L,C Global Impact Decaps ~ uFDecaps ~ nFDecap ~0.1pF Chip-Package-Board PDN simulation
  • 27. Chip Power Model - Resonance Aware Default chip simulation is activating all the clock frequencies In Resonance Aware, CPM should focus on a specific stressing frequency Digital Current dB Distributed energy between frequencies Constant Power Mode FFT time freq Digital Current dB More energy around Resonance frequency Resonance Aware Mode FFT time freq
  • 28. When to Consider Resonance? Die cap/unit area can be used to estimate total die capacitance
  • 29.
  • 30. Chip, package co-verification is done on the package and system side using a detailed chip model that is extracted from the complete die spatial and electrical data
  • 31. Early analysis model of the chip is required for early convergence of the package and system design
  • 32.