SlideShare une entreprise Scribd logo
1  sur  17
Télécharger pour lire hors ligne
PRACTICAS ELECTRONICA DIGITAL I TESE
Nombre: Estrada José Agustín
Matricula: 201122582-2
Grupo: 1301
Practica N.1 Compuertas lógicas
Teoría y tablas de verdad de las compuertas lógicas
El circuito NOT tiene solamente una entrada y una salida. El circuito NOT a
Tabla de verdad
Símbolo lógico
Compuerta AND
Es una compuerta que implementa la conjucion lógica se comporta de acuerdo
a la tabla de verdad, esta entregara una salida ALTA (1), dependiendo de los
valores de las entradas , siendo en este caso al recibir solo valores altos en la
puerta AND.
Símbolo electrónico Tabla de verdad
A B AB
0 0 0
0 1 0
1 0 0
1 1 1
ENTRADA SALIDA
A Y
0 1
1 0
PRACTICAS ELECTRONICA DIGITAL I TESE
Compuerta OR
Se utiliza para conectar dos o mas variables y basta con que una de las señales
se cumpla para que toda la función sea verdadera.
Símbolo electrónico Tabla de verdad
A B AB
0 0 0
0 1 1
1 0 1
1 1 1
La compuerta AND
Es una puerta lógica digital que implementa la conjunción lógica -se comporta
de acuerdo a la tabla de verdad Ésta entregará una salida ALTA (1),
dependiendo de los valores de las entradas, siendo este caso, al recibir solo
valores altos en la puerta AND. Si alguna de estas entradas no son ALTAS,
entonces se mostrará un valor de salida BAJA. En otro sentido, la función de la
compuerta AND efectivamente encuentra el mínimo entre dos dígitos binarios,
así como la función OR encuentra al máximo.
Símbolo electrónico Tabla de verdad
A B AB
0 0 0
0 1 0
1 0 0
1 1 1
La compuerta NOR
ES lo inverso a la compuerta OR, nadamas que cuenta con un pequeño circulo
de lado derecho a su salida.
Símbolo electrónico Tabla de verdad
A B AB
0 0 1
0 1 0
1 0 0
1 1 0
PRACTICAS ELECTRONICA DIGITAL I TESE
Practica N2. Algebra de Boole
Dado el circuito, obtenga la ecuación booleana de su comportamiento, obtenga sus
resultados parciales.
Circuito 1 .C=A+B
TABLA DE VERDAD
A B AB AB+B
0 0 0 0
0 1 0 1
1 0 0 0
1 1 1 1
En la figura de arriba se muestra la tabla de verdad que corresponde de igual
manera a los circuitos 1 y 3.
Circuito 3.
PRACTICAS ELECTRONICA DIGITAL I TESE
Obtenga la ecuación booleana y su circuito lógico correspondiente
Circuito 2 .S= (A/+AB)+C
Circuito 4.
Tabla de verdad que corresponde al los circuitos 2 y 4
A B C A/ AB A/+AB C=(A/+AB+C)
0 0 0 1 0 1 1
0 0 1 1 0 1 1
0 1 0 1 0 1 1
0 1 1 1 0 1 1
1 0 0 0 0 0 0
1 0 1 0 0 0 1
1 1 0 0 1 1 1
1 1 1 0 1 1 1
PRACTICAS ELECTRONICA DIGITAL I TESE
Practica N.3 Teorema de Boole y forma canoníca
a) [AB(C+B/D/)+A/B/]CD
Entonces
=[ABC+ABB/D/+A/B/]CD
=ABCCD+ABB/D/CD+A/B/CD
=ABCD+ABB/D/CD+A/B/CD
=ABCD+(B/+D/)(ABCD)+(A/+B/)(CD)
=ABCD+ABBCD+ABCDD/+A/CD+B/CD
=ABCD+AB(0)+AB(0)+A/CD+B/CD
=ABCD+A/CD+B/CD
=CD(AB+A/+B/)
=CD(AB+A/B/)
=CD(1)
=CD
CIRCUITO 1 CD
TABLA
DE
VERDAD
Circuito 2 [AB(C+B/D/)+A/B/] CD
C D S
0 0 0
0 1 0
1 0 0
1 1 1
PRACTICAS ELECTRONICA DIGITAL I TESE
Tabla de verdad
[AB(C+B/D/)+A/B/]CD
A B C D S
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1
0 1 0 0 0
0 1 0 1 0
0 1 1 0 0
0 1 1 1 1
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 0
1 1 0 1 0
1 1 1 0 0
1 1 1 1 1
PRACTICAS ELECTRONICA DIGITAL I TESE
Tabla de verdad =CD
S=(A/B/CD+A/BCD+AB/CD+ABCD)
B) X/Y/Z+XZ
ENTONSES:
=(X/Y/Z/)(X/Z/)
=(XY+Z/)(X/+Z/)
=(XY+Z/)(X/+Z/)
=X/X//Y+XYZ/+X/Z/+X/Z/+Z/Z/
=XYZ/+X/Z/+Z/Z/
=XYZ/+X/Z/+Z/
=(XY)Z/+X/Z/+Z/
=Z/(XY+X/+1)->Factor común
=Z/[(XY+X/+1)] ---> X+1=1
= Z
Circuito electrónico
C D S
0 0 0
0 1 0
1 0 0
1 1 1
A B C S
PRACTICAS ELECTRONICA DIGITAL I TESE
->A/ B/ C/
->A/ B/ C
->A B/C/
Practica N.4 SIMPLIFICACIÓN POR MAPA DE
KARNAUGH
0 0 0 1
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 0
1 1 1 1
PRACTICAS ELECTRONICA DIGITAL I TESE
Circuito
Practica N.5 Circuito sumador y semi –sumador
Circuito semi sumador o medio sumador
Entonces: S0=B/0A0+B0A/0
=A0+B0
C0=A0B0
Tabla de verdad semi sumador
ENTRADAS SALIDAS
A0 B0 SO CO
0 0 0 0
0 1 1 0
0 1 1 0
1 1 0 1
PRACTICAS ELECTRONICA DIGITAL I TESE
Circuito semi sumador completo
Entonces:
PRACTICAS ELECTRONICA DIGITAL I TESE
Circuito Sumador completo
Tabla de verdad sumador completo
Ci Bo Ao So Co
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
Practica N.6 Circuito restador
Circuito restador
Un restador completo es un circuito combinacional que lleva a cabo una
sustracción entre dos bits, tomando en cuenta en un 1 se ha tomado por
una etapa significativa más baja.
¿CÓMO ESTA CONFORMADO?
PRACTICAS ELECTRONICA DIGITAL I TESE
Este circuito tiene tres entrada y dos salidas. Las tres entradas
A, B , CIN, denotan al minuendo, sustraendo y a la toma previa,
respectivamente. Las dos salidas, S y COUT, representan la diferencia y
la salida tomada, respectivamente.
Tabla de verdad
A B Ci S C Signo
0 0 0 0 0 0
0 0 1 1 0 0
0 1 0 1 0 1
0 1 1 0 0 0
1 0 0 1 0 0
1 0 1 0 1 0
1 1 0 0 0 0
1 1 1 1 0 0
Practica N.7 Circuito Multiplicador de 2 bits
PRACTICAS ELECTRONICA DIGITAL I TESE
Practica N.8 Flip flops
Son circuitos lógicos diseñados para guardar un bit de un número
binario. Las salidas de un flip flops son Q y Q/ y deben tener siempre
estados lógicos diferentes.
Los Flip – Flops son dispositivos síncronos, de dos estados, también
conocidos como multivibradores biestables. Un Flip - Flop se diferencia
de un Latch, en la forma en que cambian sus datos de salida, ya que es
un dispositivo controlado por una señal de reloj, en el cual solamente
cambiará sus datos de salida mientras ocurra un flanco de subida o de
bajada de una señal de reloj, como lo indica la entrada dinámica.
PRACTICAS ELECTRONICA DIGITAL I TESE
Flip flop JK (a) Positive edge-triggered (b) Negative edge-triggered
Un Flip-Flop J-K es versátil y es uno de los tipos de Flip-Flop más
utilizados, el funcionamiento de este Flip-Flop es idéntico al de un S-R
en las condiciones de operación SET, RESET y de permanencia en estado
NO Cambio. La diferencia está en que el Flip-Flop J-K no tiene condición
no válida como ocurre en el S-R .
1-Flip flop j k síncrono funcionamiento
Tabla de verdad
CLK J K Q(t-1)
X X X Qt
0 0 Qt
0 1 0
1 0 1
1 1 Q/t
D Q
C
Q
D Q
C
Q
Indicador de
Entrada
Dinámica
PRACTICAS ELECTRONICA DIGITAL I TESE
Flip flop D
Un Flip-Flop tipo D resulta muy útil cuando se necesita almacenar un
único bit de datos (1 o 0). Si se añade un inversor a un Flip-Flop S-R
obtenemos un Flip-Flop tipo D básico.
2. Construir un Flip Flop D con un JK
Circuito electrónico
Tabla de verdad flip flop D síncrono
CLK D Q(t+1)
0 X Qt
1 0 0
1 1 1
PRACTICAS ELECTRONICA DIGITAL I TESE
3. Construir un flip flop T con un jk
Circuito electrónico
Tabla de verdad flip flop T (Tooggle) síncrono
3. Circuito Paralelo- Serie Con Flip Flops
CLK T Q(t+1)
X X Qt
0 Qt
1 Q/t
PRACTICAS ELECTRONICA DIGITAL I TESE
Referencias:
 Electronica Digital Moderna
 Simulador Electronics Workbench

Contenu connexe

Tendances

Problemas y soluciones capitulo 2
Problemas y soluciones capitulo 2Problemas y soluciones capitulo 2
Problemas y soluciones capitulo 2
adilupc
 
GUÍA DE EJERCICIOS RESUELTOS TEMA 4
GUÍA DE EJERCICIOS RESUELTOS TEMA 4GUÍA DE EJERCICIOS RESUELTOS TEMA 4
GUÍA DE EJERCICIOS RESUELTOS TEMA 4
Luis Zurita
 
Tema 2 teoría de la información y capacidad de canal
Tema 2   teoría de la información y capacidad de canalTema 2   teoría de la información y capacidad de canal
Tema 2 teoría de la información y capacidad de canal
José Ramón Cerquides Bueno
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)
alexis_meca
 
Ejemplos de win cupl
Ejemplos de win cuplEjemplos de win cupl
Ejemplos de win cupl
Rafael Duran
 
L09 sumador restador-binariode8bits
L09 sumador restador-binariode8bitsL09 sumador restador-binariode8bits
L09 sumador restador-binariode8bits
Christian Acuña
 

Tendances (20)

Latches y flip flops
Latches y flip flopsLatches y flip flops
Latches y flip flops
 
Diseño de un multiplexor
Diseño de un multiplexorDiseño de un multiplexor
Diseño de un multiplexor
 
2da tarea de control
2da tarea de control2da tarea de control
2da tarea de control
 
Problemas y soluciones capitulo 2
Problemas y soluciones capitulo 2Problemas y soluciones capitulo 2
Problemas y soluciones capitulo 2
 
Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555Pulsos de reloj de Circuito integrado 555
Pulsos de reloj de Circuito integrado 555
 
Sumador de dos números de 8bits
Sumador de dos números de 8bitsSumador de dos números de 8bits
Sumador de dos números de 8bits
 
GUÍA DE EJERCICIOS RESUELTOS TEMA 4
GUÍA DE EJERCICIOS RESUELTOS TEMA 4GUÍA DE EJERCICIOS RESUELTOS TEMA 4
GUÍA DE EJERCICIOS RESUELTOS TEMA 4
 
Practica nro2 ixis_marionny
Practica nro2 ixis_marionnyPractica nro2 ixis_marionny
Practica nro2 ixis_marionny
 
ciruitos digitales
ciruitos digitalesciruitos digitales
ciruitos digitales
 
Amplificador en Base Comun y Colector Comun
Amplificador en Base Comun y Colector ComunAmplificador en Base Comun y Colector Comun
Amplificador en Base Comun y Colector Comun
 
Tema 2 teoría de la información y capacidad de canal
Tema 2   teoría de la información y capacidad de canalTema 2   teoría de la información y capacidad de canal
Tema 2 teoría de la información y capacidad de canal
 
Timer 0 - Pic16F877A
Timer 0 - Pic16F877ATimer 0 - Pic16F877A
Timer 0 - Pic16F877A
 
Contador de 4 bytes con flip flop d (7474)
Contador de 4 bytes con flip flop  d (7474)Contador de 4 bytes con flip flop  d (7474)
Contador de 4 bytes con flip flop d (7474)
 
Ejemplos de win cupl
Ejemplos de win cuplEjemplos de win cupl
Ejemplos de win cupl
 
Restador completo
Restador completoRestador completo
Restador completo
 
Contadores a y s síncronos
Contadores a y s síncronosContadores a y s síncronos
Contadores a y s síncronos
 
Informe (ieee) generador de diente de sierra
Informe (ieee) generador de diente de sierraInforme (ieee) generador de diente de sierra
Informe (ieee) generador de diente de sierra
 
Sesion contadores y registros
Sesion  contadores y registrosSesion  contadores y registros
Sesion contadores y registros
 
L09 sumador restador-binariode8bits
L09 sumador restador-binariode8bitsL09 sumador restador-binariode8bits
L09 sumador restador-binariode8bits
 
Programacion en WinCupl
Programacion en WinCuplProgramacion en WinCupl
Programacion en WinCupl
 

En vedette

Algoritmo, seudocodigo, y diagrama de flujo.pptx2
Algoritmo, seudocodigo, y diagrama de flujo.pptx2Algoritmo, seudocodigo, y diagrama de flujo.pptx2
Algoritmo, seudocodigo, y diagrama de flujo.pptx2
Miju Duran
 
Pasos para crear un proyecto exitoso
Pasos para crear un proyecto exitosoPasos para crear un proyecto exitoso
Pasos para crear un proyecto exitoso
Tania505
 

En vedette (18)

practica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displayspractica 3 labo 2014_escribe tu nombre en displays
practica 3 labo 2014_escribe tu nombre en displays
 
Expoproyectoalarma Para Automovil 2ª
Expoproyectoalarma Para Automovil 2ªExpoproyectoalarma Para Automovil 2ª
Expoproyectoalarma Para Automovil 2ª
 
Manual de Programación en C
Manual de Programación en CManual de Programación en C
Manual de Programación en C
 
Programación del ATmega8
Programación del ATmega8Programación del ATmega8
Programación del ATmega8
 
Tabela asc ii completa
Tabela asc ii completaTabela asc ii completa
Tabela asc ii completa
 
Control PID de un levitador magnético
Control PID de un levitador magnéticoControl PID de un levitador magnético
Control PID de un levitador magnético
 
Diseño de antena microstrip
Diseño de antena microstripDiseño de antena microstrip
Diseño de antena microstrip
 
Programación del PIC18F2550
Programación del PIC18F2550Programación del PIC18F2550
Programación del PIC18F2550
 
Algoritmo, seudocodigo, y diagrama de flujo.pptx2
Algoritmo, seudocodigo, y diagrama de flujo.pptx2Algoritmo, seudocodigo, y diagrama de flujo.pptx2
Algoritmo, seudocodigo, y diagrama de flujo.pptx2
 
Códigos BCD, Gray e ASCII
Códigos  BCD, Gray e ASCIICódigos  BCD, Gray e ASCII
Códigos BCD, Gray e ASCII
 
[Maths] 6.3.2 compuertas logicas
[Maths] 6.3.2 compuertas logicas[Maths] 6.3.2 compuertas logicas
[Maths] 6.3.2 compuertas logicas
 
El código gray
El código grayEl código gray
El código gray
 
Muestreo y cuantificación de una señal analógica con MatLab
Muestreo y cuantificación de una señal analógica con MatLabMuestreo y cuantificación de una señal analógica con MatLab
Muestreo y cuantificación de una señal analógica con MatLab
 
Sistemas digitales y electrónica digital, practicas de laboraorio
Sistemas digitales y electrónica digital, practicas de laboraorioSistemas digitales y electrónica digital, practicas de laboraorio
Sistemas digitales y electrónica digital, practicas de laboraorio
 
Procesamiento digital de señales con matlab
Procesamiento digital de señales con matlabProcesamiento digital de señales con matlab
Procesamiento digital de señales con matlab
 
Sensores Inductivos
Sensores InductivosSensores Inductivos
Sensores Inductivos
 
Señales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLABSeñales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLAB
 
Pasos para crear un proyecto exitoso
Pasos para crear un proyecto exitosoPasos para crear un proyecto exitoso
Pasos para crear un proyecto exitoso
 

Similaire à Practicas electronica digital 1.tese.ag

Montaje de Circuitos Electronicos
Montaje de Circuitos ElectronicosMontaje de Circuitos Electronicos
Montaje de Circuitos Electronicos
kratosjys
 
Compuertas lógicas
Compuertas lógicasCompuertas lógicas
Compuertas lógicas
Ely Ch
 
circuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.pptcircuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.ppt
DanielHidalgo92
 
11310366 p5
11310366 p511310366 p5
11310366 p5
leiru274
 
Apuntes electronica digital
Apuntes electronica digitalApuntes electronica digital
Apuntes electronica digital
Dani Lo
 
Electrónica: circuitos combinacionales
Electrónica: circuitos combinacionalesElectrónica: circuitos combinacionales
Electrónica: circuitos combinacionales
Moisés Pérez Delgado
 
Electronica digital 4ºeso
Electronica digital 4ºeso Electronica digital 4ºeso
Electronica digital 4ºeso
castilop
 

Similaire à Practicas electronica digital 1.tese.ag (20)

Ejercicios (1)
Ejercicios (1)Ejercicios (1)
Ejercicios (1)
 
10ma_Clase.pptx
10ma_Clase.pptx10ma_Clase.pptx
10ma_Clase.pptx
 
García joel david
García joel davidGarcía joel david
García joel david
 
Montaje de Circuitos Electronicos
Montaje de Circuitos ElectronicosMontaje de Circuitos Electronicos
Montaje de Circuitos Electronicos
 
Compuertas lógicas
Compuertas lógicasCompuertas lógicas
Compuertas lógicas
 
circuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.pptcircuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.ppt
 
circuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.pptcircuitoscombinaciones-ejercicio.ppt
circuitoscombinaciones-ejercicio.ppt
 
Electrónica digital
Electrónica digitalElectrónica digital
Electrónica digital
 
11310366 p5
11310366 p511310366 p5
11310366 p5
 
Apuntes electronica digital
Apuntes electronica digitalApuntes electronica digital
Apuntes electronica digital
 
Electrónica: circuitos combinacionales
Electrónica: circuitos combinacionalesElectrónica: circuitos combinacionales
Electrónica: circuitos combinacionales
 
Circuitos
CircuitosCircuitos
Circuitos
 
Electronica digital 4ºeso
Electronica digital 4ºeso Electronica digital 4ºeso
Electronica digital 4ºeso
 
Trabajo colaborativo numero tres aporte jacob agreda
Trabajo colaborativo numero tres   aporte jacob agredaTrabajo colaborativo numero tres   aporte jacob agreda
Trabajo colaborativo numero tres aporte jacob agreda
 
Trabajo colaborativo numero tres aporte jacob agreda
Trabajo colaborativo numero tres   aporte jacob agredaTrabajo colaborativo numero tres   aporte jacob agreda
Trabajo colaborativo numero tres aporte jacob agreda
 
Álgebra booleana
Álgebra booleanaÁlgebra booleana
Álgebra booleana
 
Compuertas logicas
Compuertas logicasCompuertas logicas
Compuertas logicas
 
Compuertas logicas
Compuertas logicasCompuertas logicas
Compuertas logicas
 
LOGICA BINARIA
LOGICA BINARIALOGICA BINARIA
LOGICA BINARIA
 
Algebra de boole
Algebra de booleAlgebra de boole
Algebra de boole
 

Dernier

tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa maria
susafy7
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
gustavoiashalom
 
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNATINSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
evercoyla
 
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdfMODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
vladimirpaucarmontes
 

Dernier (20)

TIPOS DE SOPORTES - CLASIFICACION IG.pdf
TIPOS DE SOPORTES - CLASIFICACION IG.pdfTIPOS DE SOPORTES - CLASIFICACION IG.pdf
TIPOS DE SOPORTES - CLASIFICACION IG.pdf
 
Gestion de proyectos para el control y seguimiento
Gestion de proyectos para el control  y seguimientoGestion de proyectos para el control  y seguimiento
Gestion de proyectos para el control y seguimiento
 
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptxCALCULO DE ENGRANAJES RECTOS SB-2024.pptx
CALCULO DE ENGRANAJES RECTOS SB-2024.pptx
 
Six Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo processSix Sigma Process and the dmaic metodo process
Six Sigma Process and the dmaic metodo process
 
2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica2. Cristaloquimica. ingenieria geologica
2. Cristaloquimica. ingenieria geologica
 
tesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa mariatesis maíz univesidad catolica santa maria
tesis maíz univesidad catolica santa maria
 
ingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptxingenieria grafica para la carrera de ingeniera .pptx
ingenieria grafica para la carrera de ingeniera .pptx
 
JM HIDROGENO VERDE- OXI-HIDROGENO en calderas - julio 17 del 2023.pdf
JM HIDROGENO VERDE- OXI-HIDROGENO en calderas - julio 17 del 2023.pdfJM HIDROGENO VERDE- OXI-HIDROGENO en calderas - julio 17 del 2023.pdf
JM HIDROGENO VERDE- OXI-HIDROGENO en calderas - julio 17 del 2023.pdf
 
Sesion 6 _ Curso Integrador II_TSZVQJ.pdf
Sesion 6 _ Curso Integrador II_TSZVQJ.pdfSesion 6 _ Curso Integrador II_TSZVQJ.pdf
Sesion 6 _ Curso Integrador II_TSZVQJ.pdf
 
ATS-FORMATO cara.pdf PARA TRABAJO SEGURO
ATS-FORMATO cara.pdf  PARA TRABAJO SEGUROATS-FORMATO cara.pdf  PARA TRABAJO SEGURO
ATS-FORMATO cara.pdf PARA TRABAJO SEGURO
 
413924447-Clasificacion-de-Inventarios-ABC-ppt.ppt
413924447-Clasificacion-de-Inventarios-ABC-ppt.ppt413924447-Clasificacion-de-Inventarios-ABC-ppt.ppt
413924447-Clasificacion-de-Inventarios-ABC-ppt.ppt
 
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.pptTippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
Tippens fisica 7eDIAPOSITIVAS TIPENS Tippens_fisica_7e_diapositivas_33.ppt
 
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
ANALISIS Y DISEÑO POR VIENTO, DE EDIFICIOS ALTOS, SEGUN ASCE-2016, LAURA RAMIREZ
 
Herramientas de la productividad - Revit
Herramientas de la productividad - RevitHerramientas de la productividad - Revit
Herramientas de la productividad - Revit
 
Clasificación de Equipos e Instrumentos en Electricidad.docx
Clasificación de Equipos e Instrumentos en Electricidad.docxClasificación de Equipos e Instrumentos en Electricidad.docx
Clasificación de Equipos e Instrumentos en Electricidad.docx
 
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADOPERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
PERFORACIÓN Y VOLADURA EN MINERÍA APLICADO
 
Controladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y VentajasControladores Lógicos Programables Usos y Ventajas
Controladores Lógicos Programables Usos y Ventajas
 
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNATINSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
INSUMOS QUIMICOS Y BIENES FISCALIZADOS POR LA SUNAT
 
Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...Propuesta para la creación de un Centro de Innovación para la Refundación ...
Propuesta para la creación de un Centro de Innovación para la Refundación ...
 
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdfMODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
MODIFICADO - CAPITULO II DISEÑO SISMORRESISTENTE DE VIGAS Y COLUMNAS.pdf
 

Practicas electronica digital 1.tese.ag

  • 1. PRACTICAS ELECTRONICA DIGITAL I TESE Nombre: Estrada José Agustín Matricula: 201122582-2 Grupo: 1301 Practica N.1 Compuertas lógicas Teoría y tablas de verdad de las compuertas lógicas El circuito NOT tiene solamente una entrada y una salida. El circuito NOT a Tabla de verdad Símbolo lógico Compuerta AND Es una compuerta que implementa la conjucion lógica se comporta de acuerdo a la tabla de verdad, esta entregara una salida ALTA (1), dependiendo de los valores de las entradas , siendo en este caso al recibir solo valores altos en la puerta AND. Símbolo electrónico Tabla de verdad A B AB 0 0 0 0 1 0 1 0 0 1 1 1 ENTRADA SALIDA A Y 0 1 1 0
  • 2. PRACTICAS ELECTRONICA DIGITAL I TESE Compuerta OR Se utiliza para conectar dos o mas variables y basta con que una de las señales se cumpla para que toda la función sea verdadera. Símbolo electrónico Tabla de verdad A B AB 0 0 0 0 1 1 1 0 1 1 1 1 La compuerta AND Es una puerta lógica digital que implementa la conjunción lógica -se comporta de acuerdo a la tabla de verdad Ésta entregará una salida ALTA (1), dependiendo de los valores de las entradas, siendo este caso, al recibir solo valores altos en la puerta AND. Si alguna de estas entradas no son ALTAS, entonces se mostrará un valor de salida BAJA. En otro sentido, la función de la compuerta AND efectivamente encuentra el mínimo entre dos dígitos binarios, así como la función OR encuentra al máximo. Símbolo electrónico Tabla de verdad A B AB 0 0 0 0 1 0 1 0 0 1 1 1 La compuerta NOR ES lo inverso a la compuerta OR, nadamas que cuenta con un pequeño circulo de lado derecho a su salida. Símbolo electrónico Tabla de verdad A B AB 0 0 1 0 1 0 1 0 0 1 1 0
  • 3. PRACTICAS ELECTRONICA DIGITAL I TESE Practica N2. Algebra de Boole Dado el circuito, obtenga la ecuación booleana de su comportamiento, obtenga sus resultados parciales. Circuito 1 .C=A+B TABLA DE VERDAD A B AB AB+B 0 0 0 0 0 1 0 1 1 0 0 0 1 1 1 1 En la figura de arriba se muestra la tabla de verdad que corresponde de igual manera a los circuitos 1 y 3. Circuito 3.
  • 4. PRACTICAS ELECTRONICA DIGITAL I TESE Obtenga la ecuación booleana y su circuito lógico correspondiente Circuito 2 .S= (A/+AB)+C Circuito 4. Tabla de verdad que corresponde al los circuitos 2 y 4 A B C A/ AB A/+AB C=(A/+AB+C) 0 0 0 1 0 1 1 0 0 1 1 0 1 1 0 1 0 1 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 0 0 1 0 1 0 0 0 1 1 1 0 0 1 1 1 1 1 1 0 1 1 1
  • 5. PRACTICAS ELECTRONICA DIGITAL I TESE Practica N.3 Teorema de Boole y forma canoníca a) [AB(C+B/D/)+A/B/]CD Entonces =[ABC+ABB/D/+A/B/]CD =ABCCD+ABB/D/CD+A/B/CD =ABCD+ABB/D/CD+A/B/CD =ABCD+(B/+D/)(ABCD)+(A/+B/)(CD) =ABCD+ABBCD+ABCDD/+A/CD+B/CD =ABCD+AB(0)+AB(0)+A/CD+B/CD =ABCD+A/CD+B/CD =CD(AB+A/+B/) =CD(AB+A/B/) =CD(1) =CD CIRCUITO 1 CD TABLA DE VERDAD Circuito 2 [AB(C+B/D/)+A/B/] CD C D S 0 0 0 0 1 0 1 0 0 1 1 1
  • 6. PRACTICAS ELECTRONICA DIGITAL I TESE Tabla de verdad [AB(C+B/D/)+A/B/]CD A B C D S 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1
  • 7. PRACTICAS ELECTRONICA DIGITAL I TESE Tabla de verdad =CD S=(A/B/CD+A/BCD+AB/CD+ABCD) B) X/Y/Z+XZ ENTONSES: =(X/Y/Z/)(X/Z/) =(XY+Z/)(X/+Z/) =(XY+Z/)(X/+Z/) =X/X//Y+XYZ/+X/Z/+X/Z/+Z/Z/ =XYZ/+X/Z/+Z/Z/ =XYZ/+X/Z/+Z/ =(XY)Z/+X/Z/+Z/ =Z/(XY+X/+1)->Factor común =Z/[(XY+X/+1)] ---> X+1=1 = Z Circuito electrónico C D S 0 0 0 0 1 0 1 0 0 1 1 1 A B C S
  • 8. PRACTICAS ELECTRONICA DIGITAL I TESE ->A/ B/ C/ ->A/ B/ C ->A B/C/ Practica N.4 SIMPLIFICACIÓN POR MAPA DE KARNAUGH 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1
  • 9. PRACTICAS ELECTRONICA DIGITAL I TESE Circuito Practica N.5 Circuito sumador y semi –sumador Circuito semi sumador o medio sumador Entonces: S0=B/0A0+B0A/0 =A0+B0 C0=A0B0 Tabla de verdad semi sumador ENTRADAS SALIDAS A0 B0 SO CO 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 1
  • 10. PRACTICAS ELECTRONICA DIGITAL I TESE Circuito semi sumador completo Entonces:
  • 11. PRACTICAS ELECTRONICA DIGITAL I TESE Circuito Sumador completo Tabla de verdad sumador completo Ci Bo Ao So Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Practica N.6 Circuito restador Circuito restador Un restador completo es un circuito combinacional que lleva a cabo una sustracción entre dos bits, tomando en cuenta en un 1 se ha tomado por una etapa significativa más baja. ¿CÓMO ESTA CONFORMADO?
  • 12. PRACTICAS ELECTRONICA DIGITAL I TESE Este circuito tiene tres entrada y dos salidas. Las tres entradas A, B , CIN, denotan al minuendo, sustraendo y a la toma previa, respectivamente. Las dos salidas, S y COUT, representan la diferencia y la salida tomada, respectivamente. Tabla de verdad A B Ci S C Signo 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 0 0 1 1 1 1 0 0 Practica N.7 Circuito Multiplicador de 2 bits
  • 13. PRACTICAS ELECTRONICA DIGITAL I TESE Practica N.8 Flip flops Son circuitos lógicos diseñados para guardar un bit de un número binario. Las salidas de un flip flops son Q y Q/ y deben tener siempre estados lógicos diferentes. Los Flip – Flops son dispositivos síncronos, de dos estados, también conocidos como multivibradores biestables. Un Flip - Flop se diferencia de un Latch, en la forma en que cambian sus datos de salida, ya que es un dispositivo controlado por una señal de reloj, en el cual solamente cambiará sus datos de salida mientras ocurra un flanco de subida o de bajada de una señal de reloj, como lo indica la entrada dinámica.
  • 14. PRACTICAS ELECTRONICA DIGITAL I TESE Flip flop JK (a) Positive edge-triggered (b) Negative edge-triggered Un Flip-Flop J-K es versátil y es uno de los tipos de Flip-Flop más utilizados, el funcionamiento de este Flip-Flop es idéntico al de un S-R en las condiciones de operación SET, RESET y de permanencia en estado NO Cambio. La diferencia está en que el Flip-Flop J-K no tiene condición no válida como ocurre en el S-R . 1-Flip flop j k síncrono funcionamiento Tabla de verdad CLK J K Q(t-1) X X X Qt 0 0 Qt 0 1 0 1 0 1 1 1 Q/t D Q C Q D Q C Q Indicador de Entrada Dinámica
  • 15. PRACTICAS ELECTRONICA DIGITAL I TESE Flip flop D Un Flip-Flop tipo D resulta muy útil cuando se necesita almacenar un único bit de datos (1 o 0). Si se añade un inversor a un Flip-Flop S-R obtenemos un Flip-Flop tipo D básico. 2. Construir un Flip Flop D con un JK Circuito electrónico Tabla de verdad flip flop D síncrono CLK D Q(t+1) 0 X Qt 1 0 0 1 1 1
  • 16. PRACTICAS ELECTRONICA DIGITAL I TESE 3. Construir un flip flop T con un jk Circuito electrónico Tabla de verdad flip flop T (Tooggle) síncrono 3. Circuito Paralelo- Serie Con Flip Flops CLK T Q(t+1) X X Qt 0 Qt 1 Q/t
  • 17. PRACTICAS ELECTRONICA DIGITAL I TESE Referencias:  Electronica Digital Moderna  Simulador Electronics Workbench