SlideShare une entreprise Scribd logo
1  sur  4
SEP

DGEST
INSTITUTO

TECNOLÓGICO

SNEST

DE

MATAMOROS

DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

Diseño Digital con VHDL
Equipo:

Alumno(s):

Núm. de control:

Mario Arturo Cruz Colunga

11260077

Miguel Angel Fierros Peña

11260081

Hermenegildo Martínez de la Cruz

11260095

Jorge Alejandro Reyes Torres

11260108

H. MATAMOROS, TAM.

17 de septiembre del 2013
Practica 3
Objetivo:
Implementar un decodificador bcd a 7 segmentos utilizando vhdl
Marco teórico:
Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en
un código utilizado por los display de 7 segmentos para mostrar el
númerocorrespondientea la combinación de entrada.

Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.

Procedimiento:
Se crea nuevo proyecto en aldec HDL
Se escribe el código VHDL en el nuevo proyecto
Código VHDL del decodificador BCD a 7 segmentos
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity bcd7seg is
port(
A: in STD_LOGIC_VECTOR(3 downto 0);
ANN: out bit;
G: out STD_LOGIC_VECTOR(6 downto 0)
);
end bcd7seg;

architecture bcd7seg of bcd7seg is
begin
process(A)
begin
ANN<='0';
case A is
when "0000" =>G<="1000000";
when "0001" =>G<="1111001";
when "0010" =>G<="0100100";
when "0011" =>G<="0110000";
when "0100" =>G<="0011001";
when "0101" =>G<="0010010";
when "0110" =>G<="0000010";
when "0111" =>G<="1111000";
when "1000" =>G<="0000000";
when "1001" =>G<="0010000";
whenothers =>G<="1111111";
end case;
endprocess;
end
bcd7seg;

Observaciones y conclusiones:
En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al
poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para
que los números aparecieran correctamente.
Reporte vhdl3

Contenu connexe

En vedette

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFTpuridiplomaticgreensresale
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiDavid
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematosojorge91
 
Amor
AmorAmor
AmorDavid
 
virus informatica
virus informaticavirus informatica
virus informaticarochyb
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLinzTourismus
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5Brigitte Petzoldt
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012Jorge Llosa
 
Administración
AdministraciónAdministración
Administraciónjowell_29
 
Los tejidos
Los tejidosLos tejidos
Los tejidosDavid
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringDominik Schürmann
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMAJorge Llosa
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorLOC Place
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02garayfannycecilia
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Sabine Stoessel
 

En vedette (20)

8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
8287494393 PURI DIPLOMATIC GREENS RESALE 3 BHK 1780 SQFT
 
Fauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio UzcateguiFauna de las islas galápagos Dr. Emilio Uzcategui
Fauna de las islas galápagos Dr. Emilio Uzcategui
 
Les: lupus eritematoso
Les: lupus eritematosoLes: lupus eritematoso
Les: lupus eritematoso
 
Amor
AmorAmor
Amor
 
Wirlebenac final
Wirlebenac finalWirlebenac final
Wirlebenac final
 
virus informatica
virus informaticavirus informatica
virus informatica
 
Materiales textiles
Materiales textilesMateriales textiles
Materiales textiles
 
LINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDESLINZ.VERÄNDERT, AUSTRIA GUIDES
LINZ.VERÄNDERT, AUSTRIA GUIDES
 
04 montageanleitung _carport_0v5
04 montageanleitung _carport_0v504 montageanleitung _carport_0v5
04 montageanleitung _carport_0v5
 
YA SOY VIEJO
YA SOY VIEJOYA SOY VIEJO
YA SOY VIEJO
 
FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012FOTOS IMPACTANTES DEL 2012
FOTOS IMPACTANTES DEL 2012
 
Administración
AdministraciónAdministración
Administración
 
Los tejidos
Los tejidosLos tejidos
Los tejidos
 
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is CaringLucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
Lucerne Minds 14/5 - Open EVERYTHING - Sharing is Caring
 
LOS BALCONES DE LIMA
LOS BALCONES DE LIMALOS BALCONES DE LIMA
LOS BALCONES DE LIMA
 
Imuptd 120201 webinar
Imuptd 120201 webinarImuptd 120201 webinar
Imuptd 120201 webinar
 
TNS Walter Freese, Associate Director
TNS Walter Freese, Associate DirectorTNS Walter Freese, Associate Director
TNS Walter Freese, Associate Director
 
Noticiero
NoticieroNoticiero
Noticiero
 
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02Clase3 Elcurrculo Oct24 091031134205 Phpapp02
Clase3 Elcurrculo Oct24 091031134205 Phpapp02
 
Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014Brand Experience in Afrika - Vortrag auf IAK 2014
Brand Experience in Afrika - Vortrag auf IAK 2014
 

Similaire à Reporte vhdl3

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Keny Hans
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseronnyranv
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLDAdan Aguirre
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaAngel Raygoza Trejo
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200ERafael Garcia
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discretoSamuel Guiza Jerez
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Freddy Cuasapaz
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado SANTIAGO PABLO ALBERTO
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificadorEver Omar Nolasco
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le dsSNPP
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales SANTIAGO PABLO ALBERTO
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLSANTIAGO PABLO ALBERTO
 

Similaire à Reporte vhdl3 (20)

Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_Trabajo coloaborativo unad 2 fase2_
Trabajo coloaborativo unad 2 fase2_
 
Codificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant joseCodificador bcd 7 segmentos ant jose
Codificador bcd 7 segmentos ant jose
 
Dimmer- Digital
Dimmer- Digital Dimmer- Digital
Dimmer- Digital
 
Dimmer digital con Arduino
Dimmer digital con ArduinoDimmer digital con Arduino
Dimmer digital con Arduino
 
Netduino
NetduinoNetduino
Netduino
 
Manual completo de CPLD
Manual completo de CPLDManual completo de CPLD
Manual completo de CPLD
 
Proyecto arduino medidor de temperatura
Proyecto arduino medidor de temperaturaProyecto arduino medidor de temperatura
Proyecto arduino medidor de temperatura
 
APLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200EAPLICACIONES DE LA TARJETA XILINX 2S200E
APLICACIONES DE LA TARJETA XILINX 2S200E
 
Informe de proyecto final de control discreto
Informe de proyecto final de control discretoInforme de proyecto final de control discreto
Informe de proyecto final de control discreto
 
Reporte vhd11
Reporte vhd11Reporte vhd11
Reporte vhd11
 
Informe practica ecuaciones # 6
Informe practica ecuaciones # 6Informe practica ecuaciones # 6
Informe practica ecuaciones # 6
 
Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado Arduino: Reporte de display de 7 segmentos multiplexado
Arduino: Reporte de display de 7 segmentos multiplexado
 
Proyecto de arduino
Proyecto de arduinoProyecto de arduino
Proyecto de arduino
 
151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador151953932 laboratorio-de-codificador-y-decodificador
151953932 laboratorio-de-codificador-y-decodificador
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
Control de motor (eje flexible)
Control de motor (eje flexible)Control de motor (eje flexible)
Control de motor (eje flexible)
 
3.4 matriz de le ds
3.4 matriz de le ds3.4 matriz de le ds
3.4 matriz de le ds
 
Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales Practica 6 de Electrónica digital: Circuitos combinacionales
Practica 6 de Electrónica digital: Circuitos combinacionales
 
Iot (3)
Iot (3)Iot (3)
Iot (3)
 
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDLElectrónica digital: introducción al lenguaje de descripción hardware VHDL
Electrónica digital: introducción al lenguaje de descripción hardware VHDL
 

Plus de Miguel Angel Peña

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Miguel Angel Peña
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Miguel Angel Peña
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionMiguel Angel Peña
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO QMiguel Angel Peña
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y forMiguel Angel Peña
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serieMiguel Angel Peña
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaMiguel Angel Peña
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Miguel Angel Peña
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Miguel Angel Peña
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Miguel Angel Peña
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Miguel Angel Peña
 

Plus de Miguel Angel Peña (20)

Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)Juego naves reporte proyecto final(VHDL)
Juego naves reporte proyecto final(VHDL)
 
Reporte vhdl8
Reporte vhdl8Reporte vhdl8
Reporte vhdl8
 
Reporte vhdl7
Reporte vhdl7Reporte vhdl7
Reporte vhdl7
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Reporte vhdl5
Reporte vhdl5Reporte vhdl5
Reporte vhdl5
 
Practica 2 vdhl
Practica 2 vdhlPractica 2 vdhl
Practica 2 vdhl
 
Numeros primos
Numeros primosNumeros primos
Numeros primos
 
Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)Amplificador bjt emisor comun (voltaje negativo)
Amplificador bjt emisor comun (voltaje negativo)
 
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacionDiseño de amplificador emisor seguidor (colector comun) bjt y simulacion
Diseño de amplificador emisor seguidor (colector comun) bjt y simulacion
 
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO QTRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904  CALCULO DE PUNTO Q
TRANSISTORES BJT DIFERENTES CONFIGURACIONES 2N2222 Y 2N3904 CALCULO DE PUNTO Q
 
Funciones programacion
Funciones programacionFunciones programacion
Funciones programacion
 
Unidad2 programas while , do while y for
Unidad2 programas while , do while  y forUnidad2 programas while , do while  y for
Unidad2 programas while , do while y for
 
controlar motor paso a paso por puerto serie
controlar motor paso a paso por puerto seriecontrolar motor paso a paso por puerto serie
controlar motor paso a paso por puerto serie
 
Teorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practicaTeorema de máxima transferencia de potencia practica
Teorema de máxima transferencia de potencia practica
 
Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)Obtencion de la curva i v del scr(practica)
Obtencion de la curva i v del scr(practica)
 
Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)Grafica iv del diodo de silicio (practica)
Grafica iv del diodo de silicio (practica)
 
Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)Determinación de parámetros del jfet(practica)
Determinación de parámetros del jfet(practica)
 
Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)Aplicaciones del bjt (investigacion)
Aplicaciones del bjt (investigacion)
 
Practicca i v
Practicca i vPracticca i v
Practicca i v
 

Dernier

GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdfGUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdfPaolaRopero2
 
CLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfCLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfJonathanCovena1
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfFrancisco158360
 
Ley 21.545 - Circular Nº 586.pdf circular
Ley 21.545 - Circular Nº 586.pdf circularLey 21.545 - Circular Nº 586.pdf circular
Ley 21.545 - Circular Nº 586.pdf circularMooPandrea
 
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptxTIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptxlclcarmen
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dstEphaniiie
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxKarlaMassielMartinez
 
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAJAVIER SOLIS NOYOLA
 
origen y desarrollo del ensayo literario
origen y desarrollo del ensayo literarioorigen y desarrollo del ensayo literario
origen y desarrollo del ensayo literarioELIASAURELIOCHAVEZCA1
 
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...JAVIER SOLIS NOYOLA
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfAngélica Soledad Vega Ramírez
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxMaritzaRetamozoVera
 
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdfDemetrio Ccesa Rayme
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arteRaquel Martín Contreras
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaDecaunlz
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdfenelcielosiempre
 
proyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niñoproyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niñotapirjackluis
 
plande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfplande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfenelcielosiempre
 

Dernier (20)

GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdfGUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
GUIA DE CIRCUNFERENCIA Y ELIPSE UNDÉCIMO 2024.pdf
 
CLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdfCLASE - La visión y misión organizacionales.pdf
CLASE - La visión y misión organizacionales.pdf
 
Sesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronósticoSesión de clase: Fe contra todo pronóstico
Sesión de clase: Fe contra todo pronóstico
 
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdfCurso = Metodos Tecnicas y Modelos de Enseñanza.pdf
Curso = Metodos Tecnicas y Modelos de Enseñanza.pdf
 
Ley 21.545 - Circular Nº 586.pdf circular
Ley 21.545 - Circular Nº 586.pdf circularLey 21.545 - Circular Nº 586.pdf circular
Ley 21.545 - Circular Nº 586.pdf circular
 
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptxTIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
TIPOLOGÍA TEXTUAL- EXPOSICIÓN Y ARGUMENTACIÓN.pptx
 
Dinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes dDinámica florecillas a María en el mes d
Dinámica florecillas a María en el mes d
 
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptxTECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
TECNOLOGÍA FARMACEUTICA OPERACIONES UNITARIAS.pptx
 
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLAACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
ACERTIJO DE POSICIÓN DE CORREDORES EN LA OLIMPIADA. Por JAVIER SOLIS NOYOLA
 
origen y desarrollo del ensayo literario
origen y desarrollo del ensayo literarioorigen y desarrollo del ensayo literario
origen y desarrollo del ensayo literario
 
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...
ACERTIJO DE LA BANDERA OLÍMPICA CON ECUACIONES DE LA CIRCUNFERENCIA. Por JAVI...
 
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdfSELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
SELECCIÓN DE LA MUESTRA Y MUESTREO EN INVESTIGACIÓN CUALITATIVA.pdf
 
Sesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docxSesión de aprendizaje Planifica Textos argumentativo.docx
Sesión de aprendizaje Planifica Textos argumentativo.docx
 
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdfPlanificacion Anual 4to Grado Educacion Primaria   2024   Ccesa007.pdf
Planificacion Anual 4to Grado Educacion Primaria 2024 Ccesa007.pdf
 
Historia y técnica del collage en el arte
Historia y técnica del collage en el arteHistoria y técnica del collage en el arte
Historia y técnica del collage en el arte
 
Qué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativaQué es la Inteligencia artificial generativa
Qué es la Inteligencia artificial generativa
 
plan de capacitacion docente AIP 2024 clllll.pdf
plan de capacitacion docente  AIP 2024          clllll.pdfplan de capacitacion docente  AIP 2024          clllll.pdf
plan de capacitacion docente AIP 2024 clllll.pdf
 
proyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niñoproyecto de mayo inicial 5 añitos aprender es bueno para tu niño
proyecto de mayo inicial 5 añitos aprender es bueno para tu niño
 
plande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdfplande accion dl aula de innovación pedagogica 2024.pdf
plande accion dl aula de innovación pedagogica 2024.pdf
 
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdfTema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
Tema 8.- PROTECCION DE LOS SISTEMAS DE INFORMACIÓN.pdf
 

Reporte vhdl3

  • 1. SEP DGEST INSTITUTO TECNOLÓGICO SNEST DE MATAMOROS DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Diseño Digital con VHDL Equipo: Alumno(s): Núm. de control: Mario Arturo Cruz Colunga 11260077 Miguel Angel Fierros Peña 11260081 Hermenegildo Martínez de la Cruz 11260095 Jorge Alejandro Reyes Torres 11260108 H. MATAMOROS, TAM. 17 de septiembre del 2013
  • 2. Practica 3 Objetivo: Implementar un decodificador bcd a 7 segmentos utilizando vhdl Marco teórico: Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en un código utilizado por los display de 7 segmentos para mostrar el númerocorrespondientea la combinación de entrada. Material: Laptop Kit spartan3e Software aldec HDL, xilinx ISE, adept. Procedimiento: Se crea nuevo proyecto en aldec HDL Se escribe el código VHDL en el nuevo proyecto
  • 3. Código VHDL del decodificador BCD a 7 segmentos library IEEE; use IEEE.STD_LOGIC_1164.all; entity bcd7seg is port( A: in STD_LOGIC_VECTOR(3 downto 0); ANN: out bit; G: out STD_LOGIC_VECTOR(6 downto 0) ); end bcd7seg; architecture bcd7seg of bcd7seg is begin process(A) begin ANN<='0'; case A is when "0000" =>G<="1000000"; when "0001" =>G<="1111001"; when "0010" =>G<="0100100"; when "0011" =>G<="0110000"; when "0100" =>G<="0011001"; when "0101" =>G<="0010010"; when "0110" =>G<="0000010"; when "0111" =>G<="1111000"; when "1000" =>G<="0000000"; when "1001" =>G<="0010000"; whenothers =>G<="1111111"; end case; endprocess; end bcd7seg; Observaciones y conclusiones: En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para que los números aparecieran correctamente.