SlideShare une entreprise Scribd logo
1  sur  81
Integrating a custom AXI IP Core
in Vivado for Xilinx Zynq FPGA
based embedded systems
Vincent Claes
Overview
Vincent Claes
•Hardware connection Digilent Zybo board (Zynq
based)
•Custom IP Core
•Vivado Project
•C Application in SDK
Hardware connection
Vincent Claes
Vincent Claes
Hardware connection
Vincent Claes
VHDL Dice Controller Code
Vincent Claes
XDC (Xilinx Design Constraint File)
##Switches
set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=SW0
set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=SW1
set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=SW2
set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=SW3
##Pmod Header JE
set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=JE1
set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=JE2
set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=JE3
set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { je[3] }]; #IO_L19P_T3_35 Sch=JE4
set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { je[4] }]; #IO_L3N_T0_DQS_34 Sch=JE7
set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { je[5] }]; #IO_L9N_T1_DQS_34 Sch=JE8
set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports { je[6] }]; #IO_L20P_T3_34 Sch=JE9
set_property -dict { PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 } [get_ports { je[7] }]; #IO_L7N_T1_34 Sch=JE10
Vincent Claes
Create new Vivado project (first launch Vivado)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Create new Vivado project (HW)
Vincent Claes
Check the project settings
Vincent Claes
Check IP Settings in Project Settings
Vincent Claes
Check IP Settings in Project Settings
Vincent Claes
Custom IP Core (AXI + VHDL)
Vincent Claes
Vincent Claes
Create and Package IP
Vincent Claes
Create and Package IP
Vincent Claes
Create a new AXI4 peripheral
Vincent Claes
Peripheral details
Vincent Claes
Add Interfaces
Vincent Claes
Create Peripheral overview [Edit IP]
Vincent Claes
Add Sources (dice VHDL controller file)
Vincent Claes
Add Sources
Vincent Claes
Add or Create Design Sources
Vincent Claes
Add Source files
Vincent Claes
Add Sources
Vincent Claes
open dobbelsteen_v1_0_S00_AXI.vhd
Vincent Claes
Add the Dobbelsteen component in VHD file
Vincent Claes
Add User logic in VHD file
Vincent Claes
Add ports to top VHDL file of AXI IP
Vincent Claes
Add port to dobbelsteen_v1_s00_AXI
component
Vincent Claes
Port map in dobbelsteen top VHDL file
Vincent Claes
Package IP
Vincent Claes
Package IP – File Groups
Vincent Claes
File Groups (Extra – not in this project)
If you use IP from the Xilinx IP
Catalog don’t forget to Add
Sub-Core References in your
File Groups!!!
For instance when using the
clock wizard inside your
Custom VHDL IP block!
Vincent Claes
File Groups (Extra – not in this project)
Vincent Claes
Package IP – Customization Parameters
Vincent Claes
Review and Package
Vincent Claes
Close AXI Custom IP Project
Vincent Claes
Check the project settings
Vincent Claes
Project Settings – Repository Manager
Vincent Claes
Create new project
Vincent Claes
Create Block Design
Vincent Claes
Vivado Project
Vincent Claes
Vincent Claes
Create Block Design
Vincent Claes
Add IP to Block Design
Vincent Claes
Select ZYNQ7 Processing System
Vincent Claes
Run Block Automation
Vincent Claes
Run Block Automation
Vincent Claes
Block Diagram is auto-updated
Vincent Claes
Add Dobbelsteen IP
Vincent Claes
Add dobbelsteeen_v1.0 axi ip core
Vincent Claes
Run Connection Automation (AXI bus
connection)
Vincent Claes
Run Connection Automation
Vincent Claes
Block Design
Vincent Claes
Make external
Vincent Claes
Make external
Vincent Claes
Create HDL Wrapper (top VHDL file)
Vincent Claes
Create HDL Wrapper
Vincent Claes
Add Sources (design constraints file)
Vincent Claes
Add Sources
Vincent Claes
Add or Create Constraints
Vincent Claes
Add Constraints file
Vincent Claes
Add or Create constraints
Vincent Claes
Generate Bitstream (HW)
Vincent Claes
No Implementation Results Available
Vincent Claes
Bitstream Generation Completed
Vincent Claes
Export Hardware
Vincent Claes
Export Hardware
Vincent Claes
Launch SDK to start writing Software
Vincent Claes
C Application (SW on FPGA
platform)
Vincent Claes
Vincent Claes
Launch SDK
Vincent Claes
Hw platform overview
Vincent Claes
Start a new Application Project
Vincent Claes
Application Project
Vincent Claes
Create a Hello World C Application
Vincent Claes
Rewrite C Application
Vincent Claes

Contenu connexe

Tendances

EtherCAT Training
EtherCAT TrainingEtherCAT Training
EtherCAT Training
ICP DAS USA, Inc.
 
Lcu14 101- coresight overview
Lcu14 101- coresight overviewLcu14 101- coresight overview
Lcu14 101- coresight overview
Linaro
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
Nirav Desai
 
CCNA Routing Protocols
CCNA Routing ProtocolsCCNA Routing Protocols
CCNA Routing Protocols
Dsunte Wilson
 

Tendances (20)

EtherCAT Training
EtherCAT TrainingEtherCAT Training
EtherCAT Training
 
IS-IS Protocol
IS-IS ProtocolIS-IS Protocol
IS-IS Protocol
 
GLBP (gateway load balancing protocol)
GLBP (gateway load balancing protocol)GLBP (gateway load balancing protocol)
GLBP (gateway load balancing protocol)
 
Cisco vs. huawei CLI Commands
Cisco vs. huawei CLI CommandsCisco vs. huawei CLI Commands
Cisco vs. huawei CLI Commands
 
Lcu14 101- coresight overview
Lcu14 101- coresight overviewLcu14 101- coresight overview
Lcu14 101- coresight overview
 
Real Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARMReal Time Filtering on Embedded ARM
Real Time Filtering on Embedded ARM
 
CCNAv5 - S2: Chapter3 Vlans
CCNAv5 - S2: Chapter3 VlansCCNAv5 - S2: Chapter3 Vlans
CCNAv5 - S2: Chapter3 Vlans
 
Network function virtualization
Network function virtualizationNetwork function virtualization
Network function virtualization
 
Cisco CCNA- DHCP Server
Cisco CCNA-  DHCP ServerCisco CCNA-  DHCP Server
Cisco CCNA- DHCP Server
 
Vlans (virtual local area networks)
Vlans (virtual local area networks)Vlans (virtual local area networks)
Vlans (virtual local area networks)
 
5 verification methods
5 verification methods5 verification methods
5 verification methods
 
Wireless lan controller
Wireless lan controllerWireless lan controller
Wireless lan controller
 
Session 6 sv_randomization
Session 6 sv_randomizationSession 6 sv_randomization
Session 6 sv_randomization
 
Advances in Verification - Workshop at BMS College of Engineering
Advances in Verification - Workshop at BMS College of EngineeringAdvances in Verification - Workshop at BMS College of Engineering
Advances in Verification - Workshop at BMS College of Engineering
 
CCNA Routing Protocols
CCNA Routing ProtocolsCCNA Routing Protocols
CCNA Routing Protocols
 
Virtual Local Area Network (VLAN)
Virtual Local Area Network (VLAN)Virtual Local Area Network (VLAN)
Virtual Local Area Network (VLAN)
 
CCNAv5 - S2: Chapter 9 Access Control Lists
CCNAv5 - S2: Chapter 9 Access Control ListsCCNAv5 - S2: Chapter 9 Access Control Lists
CCNAv5 - S2: Chapter 9 Access Control Lists
 
ADVA Webinar to Netwell.pdf
ADVA Webinar to Netwell.pdfADVA Webinar to Netwell.pdf
ADVA Webinar to Netwell.pdf
 
128852588-KLM-E1s.pdf
128852588-KLM-E1s.pdf128852588-KLM-E1s.pdf
128852588-KLM-E1s.pdf
 
VPLS Fundamental
VPLS FundamentalVPLS Fundamental
VPLS Fundamental
 

Similaire à Integrating a custom AXI IP Core in Vivado for Xilinx Zynq FPGA based embedded systems

SEC8022_Securing_SDDC_NSX_Hammad_Shahzad
SEC8022_Securing_SDDC_NSX_Hammad_ShahzadSEC8022_Securing_SDDC_NSX_Hammad_Shahzad
SEC8022_Securing_SDDC_NSX_Hammad_Shahzad
shezy22
 
Graphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEWGraphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEW
Vincent Claes
 
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
Principled Technologies
 

Similaire à Integrating a custom AXI IP Core in Vivado for Xilinx Zynq FPGA based embedded systems (20)

Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP BlockDebugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
Debugging Xilinx Zynq Project using ILA Integrated Logic Analyzer IP Block
 
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA's
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA'sUsing Virtual IO (VIO) on Xilinx ZYNQ FPGA's
Using Virtual IO (VIO) on Xilinx ZYNQ FPGA's
 
Running FreeRTOS on Digilent Zybo board
Running FreeRTOS on Digilent Zybo boardRunning FreeRTOS on Digilent Zybo board
Running FreeRTOS on Digilent Zybo board
 
Verilog & Vivado Quickstart
Verilog & Vivado QuickstartVerilog & Vivado Quickstart
Verilog & Vivado Quickstart
 
Interop 2017 - Managing Containers in Production
Interop 2017 - Managing Containers in ProductionInterop 2017 - Managing Containers in Production
Interop 2017 - Managing Containers in Production
 
Making Security Usable: Product Engineer Perspective
Making Security Usable: Product Engineer PerspectiveMaking Security Usable: Product Engineer Perspective
Making Security Usable: Product Engineer Perspective
 
(ARC401) Cloud First: New Architecture for New Infrastructure
(ARC401) Cloud First: New Architecture for New Infrastructure(ARC401) Cloud First: New Architecture for New Infrastructure
(ARC401) Cloud First: New Architecture for New Infrastructure
 
VMworld 2013: The Story Behind Designing and Building a Distributed Automatio...
VMworld 2013: The Story Behind Designing and Building a Distributed Automatio...VMworld 2013: The Story Behind Designing and Building a Distributed Automatio...
VMworld 2013: The Story Behind Designing and Building a Distributed Automatio...
 
SEC8022_Securing_SDDC_NSX_Hammad_Shahzad
SEC8022_Securing_SDDC_NSX_Hammad_ShahzadSEC8022_Securing_SDDC_NSX_Hammad_Shahzad
SEC8022_Securing_SDDC_NSX_Hammad_Shahzad
 
VMware NSX - Lessons Learned from real project
VMware NSX - Lessons Learned from real projectVMware NSX - Lessons Learned from real project
VMware NSX - Lessons Learned from real project
 
The Container Security Checklist
The Container Security Checklist The Container Security Checklist
The Container Security Checklist
 
How (and why) to roll your own Docker SaaS
How (and why) to roll your own Docker SaaSHow (and why) to roll your own Docker SaaS
How (and why) to roll your own Docker SaaS
 
VMware vCHS, Puppet, and Project Zombie - PuppetConf 2013
VMware vCHS, Puppet, and Project Zombie - PuppetConf 2013VMware vCHS, Puppet, and Project Zombie - PuppetConf 2013
VMware vCHS, Puppet, and Project Zombie - PuppetConf 2013
 
RTP NPUG: Ansible Intro and Integration with ACI
RTP NPUG: Ansible Intro and Integration with ACIRTP NPUG: Ansible Intro and Integration with ACI
RTP NPUG: Ansible Intro and Integration with ACI
 
Hand-On Lab: CA Release Automation Rapid Development Kit and SDK
Hand-On Lab: CA Release Automation Rapid Development Kit and SDKHand-On Lab: CA Release Automation Rapid Development Kit and SDK
Hand-On Lab: CA Release Automation Rapid Development Kit and SDK
 
DEVNET-1148 Leveraging Cisco OpenStack Private Cloud for Developers
DEVNET-1148	Leveraging Cisco OpenStack Private Cloud for DevelopersDEVNET-1148	Leveraging Cisco OpenStack Private Cloud for Developers
DEVNET-1148 Leveraging Cisco OpenStack Private Cloud for Developers
 
Intro to the FIWARE Lab
Intro to the FIWARE LabIntro to the FIWARE Lab
Intro to the FIWARE Lab
 
Graphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEWGraphical System On Chip with LabVIEW
Graphical System On Chip with LabVIEW
 
Quick Start Guide using Virtuozzo 7 (β) on AWS EC2
Quick Start Guide using Virtuozzo 7 (β) on AWS EC2Quick Start Guide using Virtuozzo 7 (β) on AWS EC2
Quick Start Guide using Virtuozzo 7 (β) on AWS EC2
 
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
Deploying Red Hat Enterprise Linux OpenStack Platform 7 on Lenovo Performance...
 

Plus de Vincent Claes

Plus de Vincent Claes (20)

Percepio Tracealyzer for FreeRTOS on MiniZED
Percepio Tracealyzer for FreeRTOS on MiniZEDPercepio Tracealyzer for FreeRTOS on MiniZED
Percepio Tracealyzer for FreeRTOS on MiniZED
 
Xilinx Vitis FreeRTOS Hello World
Xilinx Vitis FreeRTOS Hello WorldXilinx Vitis FreeRTOS Hello World
Xilinx Vitis FreeRTOS Hello World
 
Programming STM32L432 Nucleo with Keil MDK
Programming STM32L432 Nucleo with Keil MDKProgramming STM32L432 Nucleo with Keil MDK
Programming STM32L432 Nucleo with Keil MDK
 
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
Profiling Xilinx Zynq Software Applications in SDK (MiniZED board)
 
Workshop: Introductie tot Python
Workshop: Introductie tot PythonWorkshop: Introductie tot Python
Workshop: Introductie tot Python
 
Installation Anaconda Navigator for Python Workshop
Installation Anaconda Navigator for Python WorkshopInstallation Anaconda Navigator for Python Workshop
Installation Anaconda Navigator for Python Workshop
 
ZYNQ BRAM Implementation
ZYNQ BRAM ImplementationZYNQ BRAM Implementation
ZYNQ BRAM Implementation
 
Implementing a Database and API for your Cloud Service
Implementing a Database and API for your Cloud ServiceImplementing a Database and API for your Cloud Service
Implementing a Database and API for your Cloud Service
 
Launching Python Cloud Services for AI/IoT Projects
Launching Python Cloud Services for AI/IoT ProjectsLaunching Python Cloud Services for AI/IoT Projects
Launching Python Cloud Services for AI/IoT Projects
 
R Markdown, Rpubs & github publishing and Shiny by Example
R Markdown, Rpubs & github publishing and Shiny by ExampleR Markdown, Rpubs & github publishing and Shiny by Example
R Markdown, Rpubs & github publishing and Shiny by Example
 
Using Texas Instruments Code Composer Studio for The CC3200XL Launchpad
Using Texas Instruments Code Composer Studio for The CC3200XL LaunchpadUsing Texas Instruments Code Composer Studio for The CC3200XL Launchpad
Using Texas Instruments Code Composer Studio for The CC3200XL Launchpad
 
Hogeschool PXL Smart Mirror
Hogeschool PXL Smart MirrorHogeschool PXL Smart Mirror
Hogeschool PXL Smart Mirror
 
Softcore vs Hardcore processor
Softcore vs Hardcore processorSoftcore vs Hardcore processor
Softcore vs Hardcore processor
 
MySQL / PHP Server
MySQL / PHP ServerMySQL / PHP Server
MySQL / PHP Server
 
Implementing an interface in r to communicate with programmable fabric in a x...
Implementing an interface in r to communicate with programmable fabric in a x...Implementing an interface in r to communicate with programmable fabric in a x...
Implementing an interface in r to communicate with programmable fabric in a x...
 
fTales workshop
fTales workshopfTales workshop
fTales workshop
 
Maker Revolution
Maker RevolutionMaker Revolution
Maker Revolution
 
Debugging IoT Sensor Interfaces (SPI) with Digilent Analog Discovery 2
Debugging IoT Sensor Interfaces (SPI) with Digilent Analog Discovery 2Debugging IoT Sensor Interfaces (SPI) with Digilent Analog Discovery 2
Debugging IoT Sensor Interfaces (SPI) with Digilent Analog Discovery 2
 
FreeRTOS API
FreeRTOS APIFreeRTOS API
FreeRTOS API
 
FreeRTOS Xilinx Vivado: Hello World!
FreeRTOS Xilinx Vivado: Hello World!FreeRTOS Xilinx Vivado: Hello World!
FreeRTOS Xilinx Vivado: Hello World!
 

Dernier

Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Christo Ananth
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Dr.Costas Sachpazis
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
Tonystark477637
 

Dernier (20)

Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
result management system report for college project
result management system report for college projectresult management system report for college project
result management system report for college project
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 

Integrating a custom AXI IP Core in Vivado for Xilinx Zynq FPGA based embedded systems

Notes de l'éditeur

  1. Integration of custom IP core (VHDL) Xilinx Zynq based Digilent Zybo board
  2. Hardware connection of Dice PCB board JE Pmod connector is used
  3. ------------------------------------------------------------------------------------ Company: -- Engineer: Vincent Claes -- -- Create Date: 07.10.2016 16:16:53-- Design Name: -- Module Name: Dobbelsteen - Behavioral-- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision:-- Revision 0.01 - File Created-- Additional Comments:-- ----------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity Dobbelsteen is Port ( sw : in STD_LOGIC_VECTOR (3 downto 0); je : out STD_LOGIC_VECTOR (7 downto 0));end Dobbelsteen;architecture Behavioral of Dobbelsteen isbegin-- je[0] = LED 1 - JE1-- je[1] = LED 2 - JE2-- je[2] = LED 3 - JE3-- je[3] = no conn-- je[4] = LED 4 - JE7-- je[5] = LED 5 - JE8-- je[6] = LED 6 - JE9-- je[7] = LED 7 -JE10--##Pmod Header JE--set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { je[0] }]; #IO_L4P_T0_34 Sch=JE1--set_property -dict { PACKAGE_PIN W16 IOSTANDARD LVCMOS33 } [get_ports { je[1] }]; #IO_L18N_T2_34 Sch=JE2--set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { je[2] }]; #IO_25_35 Sch=JE3--set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { je[3] }]; #IO_L19P_T3_35 Sch=JE4--set_property -dict { PACKAGE_PIN V13 IOSTANDARD LVCMOS33 } [get_ports { je[4] }]; #IO_L3N_T0_DQS_34 Sch=JE7--set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { je[5] }]; #IO_L9N_T1_DQS_34 Sch=JE8--set_property -dict { PACKAGE_PIN T17 IOSTANDARD LVCMOS33 } [get_ports { je[6] }]; #IO_L20P_T3_34 Sch=JE9--set_property -dict { PACKAGE_PIN Y17 IOSTANDARD LVCMOS33 } [get_ports { je[7] }]; #IO_L7N_T1_34 Sch=JE10-- 1 => LED 4-- 2 => LED 1 LED 7-- 3 => LED 1 LED 7 LED 4-- 4 => LED 1 LED 3 LED 5 LED 7-- 5 => LED 1 LED 3 LED 4 LED 5 LED 7-- 6 => LED 1 LED 2 LED 3 LED 5 LED 6 LED 7process(sw)begin case (sw) is when "0001" => --1 je <="00010000"; when "0010" => --2 je <="10000001"; when "0011" => --3 je <="10010001"; when "0100" => --4 je <="10100101"; when "0101" => --5 je <="10110101"; when "0110" => --6 je <="11100111"; when others => je <=(others=>'0'); end case; end process; end Behavioral;
  4. Create new project
  5. Next button
  6. Project Name Project Location Create project subdirectory Next button
  7. RTL Project You can select “Do not specify sources at this time”, otherwise more windows appear Next button
  8. Target language = VHDL Simulator language = VHDL Next button
  9. Next button
  10. Next button
  11. Select boards Zybo board (xc7z010clg400-1) Next button
  12. Finish button
  13. Select IP – General Tab and look at my settings, be sure those are the same.
  14. In the Packager Tabs unselect the option “Delete project after packaging” I always enable the “Create archive of IP” option to have a backup plan 
  15. Following section explains the creation of a custom VHDL dice ip core
  16. Select Tools Create and Package IP …, this starts a wizard to create a new AXI IP
  17. Next button
  18. Select “Create a new AXI4 peripheral” Select the Next button
  19. Specify the name, version and description for the new peripheral Don’t forget to check the IP Location Click Next
  20. Click Next button
  21. Select “Edit IP” Finish button
  22. Select Add Sources from Project Manager in the Flow Navigator window
  23. Select Add or Create design sources Click next
  24. Select Add Files
  25. Browse to your VHDL Dice Controller file and select thisone, press OK button
  26. Select Finish button
  27. Dobbelsteen is dice in Dutch language
  28. component Dobbelsteen is Port ( sw : in std_logic_vector(3 downto 0); je : out std_logic_vector(7 downto 0)); end component
  29. dobbel: Dobbelsteen port map( sw=> slv_reg0(31 downto 28), je => je);
  30. Je : out std_logic_vector(7 downto 0);
  31. Je : out std_logic_vector( 7 downto 0);
  32. Select Package IP from Project Manager (Flow Navigator Window)
  33. Select File Groups Select “Merge changes from File Groups Wizard”
  34. Add Sub-Core Reference “Clocking wizard” for instance
  35. Select “Merge changes from Customization Parameters Wizard”
  36. Select “Re-Package IP”
  37. Select “Yes”
  38. Check the Repository Manager of the IP in the Project Manager
  39. Select “Create Block Design” from “IP Integrator” in the Project Manager Tab of the “Flow Navigator”.
  40. Specify a Design name (for instance default design_1) I never change those settings. Select ok
  41. Select the Add IP option to add a PS ZYNQ core to the block design
  42. Select ZYNQ7 Processing System
  43. Select Run Block Automation
  44. Block diagram is auto updated by use of Block Automation feature of Xilinx Vivado IDE
  45. Select Add IP
  46. Add dobbelsteeen_v1.0 axi ip core
  47. Click on Run Connection Automation to Auto create AXI bus Master Slave structure between PS7 and Dobbelsteeen ip.
  48. Click OK button
  49. Right mouseclick on je output of dobbelsteeen_0
  50. Select make external to make the je[7:0] pins connection the the board (outside world on je connector of Zybo board)
  51. Select “Create HDL Wrapper”
  52. Let Vivado manage wrapper and auto-update Select ok button
  53. Select add sources from Project manager in the Flow Navigator window
  54. Select Add files from the Add or Create constraint window Be sure to select the XDC file with the je connector and sw uncommented
  55. It’s time to grab a cup of coffee and start to generate the bitstream
  56. Select Yes
  57. Select Cancel
  58. Select Export Hardware from File
  59. Set Include the bitstream option Select the ok buttn
  60. Select “Launch SDK” from file
  61. Select ok
  62. Hdf file => look for dobbelsteeen in the address map
  63. Start a new application project
  64. Select Next
  65. Select Hello World Template and Click Finish button