SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011



     Performance Analysis of Interconnect Drivers for
              Ultralow Power Applications
                                                     S.D.Pable, Mohd. Hasan
                                             Department of Electronics Engineering
                                         Zakir Husain College of Engg. and Technology
                                         Aligarh Muslim University, Aligarh, U.P., India

Abstract—ultralow power consumption requirement of low                    these limitations, the use of the bundles of metallic carbon
throughput applications needs to operate circuits in                      nanotubes (CNT) as interconnect has been proposed as a
subthreshold region where subthreshold leakage current is used            possible replacement for Cu interconnect [3][4].
as active current for necessary computations. This paper                  Electromigration is negligible in Cu interconnect with
investigates the impact of interconnect drivers on digital circuit        subtheshold circuits because of low current density but higher
performance in subthreshold region. In particular, we have
                                                                          resistance of driver degrades interconnects performances.
investigates the performance of Si-MOSFET and CNFETs at
32nm deep submicron technology node. Performance Analysis                 With reported current density of around 109 A/cm2, CNT
is carried out for different interconnect drivers driving global          have larger current carrying capabilities than traditional Cu
interconnect. We have proposed an optimized CNFET driver                  interconnect of the order of 103 A/cm2. For Nanotube based
which gives the significant improvement in delay and PDP over             interconnects previous research primarily focused on impact
conventional CNFET in subthreshold for global and semi-global             of RLC on power dissipation and delay [5][6]. In this work
interconnect length. HSPICE device model files generated from             equivalent RLC parameters of the interconnect line are
“Nano CMOS” tool are use for Si-MOSFET to analyze the                     extracted from PTM [7] tool for Cu. The equivalent RLC
impact of Process and Temperature (P, T) variations on                    parameters of CNT interconnect are extracted using Carbon
robustness of circuit for fair comparison with CNFET.
                                                                          Nanotube Interconnect Analyser (CNIA) [8] with
Variability of design metric parameters is evaluated by applying
Gaussian distribution using Monte Carlo simulation run.                   interconnect geometry suggested in [9].
                                                                                    Performance analysis of different interconnect
Index Terms— Subthreshold, Ultra-low power, CNFET, Monte-                 drivers is carried out for CNT and copper wire interconnect
Carlo analysis.                                                           for different semi-global and global interconnect lengths.
                                                                          For fair comparison between MOSFET and Carbon Nano
                        I INTRODUCTION                                    Tube Field Effect Transistors (CNFET) drivers same Vth is
                                                                          selected. For simulation purpose, we have used PTM model
          Minimizing power consumption is a challenging
                                                                          parameter of Si-MOSFET at 32nm technology node [7]. High
task to the researchers for designing digital circuits with ultra
                                                                          performance Stanford CNFET device model files which
low power (ULP) digital portable applications. Due to
                                                                          successfully accounts for CNFET practical non-idealities,
aggressive scaling of transistor size according to Moore’s
                                                                          such as scattering, effects of the source/drain extension
law, gate leakage, drain substrate junction band to band
                                                                          region, and inter-CNT charge screening effects [6] are used
tunneling current and subthreshold current increases
                                                                          for CNFET simulation.
significantly in super threshold, limiting further scaling down
                                                                                    The rest of the paper is organized as follows. In
of devices for ULP applications. Recently, subthreshold                   section II, basic structure of CNFET is explores. In section
operating region is of very much interest to reduce the energy            III, CMOS and CNFET device performance analysis carried
up to the ultralow levels. Subthreshold operation of transistor           out to obtain optimal parameter for CNFET to achieve higher
can have order of magnitude power saving over super                       drive current. Section IV compares the interconnect drivers
threshold circuits [1]. In subthreshold operating region                  performances for Cu and CNT interconnects. In section V,
leakage current is used as drive current. This small leakage              variability analysis of CMOS and CNFET based
current, however limits the maximum performance at which                  interconnects resource is carried out using sufficient Monte
the subthreshold circuit can be operated. Since supply voltage            Carlo simulation run and Section VI draws the conclusion
(VDD) is less than threshold voltage (Vth), delay increases               from this paper.
exponentially [1]. Subthreshold circuits operation uses low
VDD to minimize the energy consumed by digital circuits and                          II BASIC STRUCTURE OF CNFET
has thus become popular option for research in ULP.
          As CMOS process scales into the deep submicron                     CNFETs is one of the most promising devices among
region, lithography limitation, electro migration and delay               emerging technologies. Most of the fundamental limitations
of copper interconnect has driven the need to find alternative            for traditional MOSFETs are mitigated in CNFETs. Research
interconnect solution [2]. In order to overcome                           community actively investigates CNFET as promising device
                                                                          for integrated circuit technology at the end or beyond the
                                                                          ITRS roadmap [2]. The CNFET offers many potential


© 2011 ACEEE                                                         30
DOI: 01.IJEPE.02.01.71
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011


                                                                        The switching energy of CNFET is proportional to
                                                                        capacitance of CNFET and given by, [13]
                                                                        Energy CNFET, n           CCNFET,n              V DD 2               (4)
                                                                          Where, CCNFET, n is capacitance of CNFET gate and is
                                                                           approximately equal to its gate capacitance (CCNFET,n).
                                                                         Gate capacitance of CNFET with n number of tubes is
                                                                                          given by is given by,
                                                                        CCNFET, n  n.C g   L       C          W        (5)
                                                                                              -CNT1 g, CNT              g-parasitic   g,CNT

                    Figure 1. Basic structure of CNFET
                                                                        Where, Wg, CNT is width of CNFET and Lg, CNT is the length of
                                                                        the gate. Cg-CNT1 is almost constant for n. [13].
advantages with respect to MOSFET due to improvement in                   Total gate capacitance is proportion to number of CNTs in
CV/I of intrinsic CNFET (19, 0). CNFETs show 13×CV/
                        with                                           channel, length of channel and pitch. It is necessary to use
I improvement over NMOS in superthreshold region at 32nm                optimum value of number of tubes and pitch to increase the
technology node due to near ballistic CNT transport [6].These           drive current as well as to keep the capacitance within
advantage leads us to investigate the CNFET performance                 acceptable limit.
in subthreshold region also.
          The single wall carbon nanotubes (SWCNTs) is one                 III.CMOS AND CNFET INVERTER ANALYSIS IN
dimensional conductor obtained by sheets of grapheme rolled                          SUBTHRESHOLD REGION
in the forms of tubes, depending on the chirality’s, the single
walled CNTs can be either metallic or semi conducting                     A. Subthreshold leakage Current
[10][11]. CNFET is obtained by replacing the channel of a                         For very long wire, interconnect delay would be so
conventional MOSFET by a number of carbon nanotubes,                    long that it can be dominate the gate delay. In ICs, a global
as shown in Fig. 1. As shown in Fig. 1 CNTs are placed on               wire tends to be very long since they must be distributed all
the bulk substrate (k2), a high (k1) dielectric separates the           over the chip. These wires are associated with clocks, busses,
CNTs from metal gate electrode by an insulator thickness                and other major signals in the design. Since delay for long
Tox=4nm with dielectric constant of 16. The diameter of                 wire is quadratic with respect to interconnect length (L), the
CNT is given by the (1),                                                standard solution is to insert repeaters or buffer periodically
                                                                        along the wire. As drive current in subthreshold circuit is
            a m 2  mn  n 2
  D CNT                                              (1)               leakage current due to diffusion, therefore delay penalty is
                    π                                                   very high which degrades the circuit performance in
 Where (m, n) are chirality number of CNT, ‘a’ is Lattice               subthreshold regime. To overcome this problems driver with
constant (a=2.49e-10). SWCNTs can be grouped as either                  better delay response needed to be design.
 metallic nanotubes if m-n is an integer multiple of 3 or               Unlike conventional CMOS in which the drain current IDS is
   semiconducting nanotubes if m-n is not an integer                    dominated by transport of carrier due to drift, diffusion
                      multiple of 3                                     current dominates in subthreshold operation. Transistors are
     Threshold voltage of CNFET given by (2) [12],                      operated in subthreshold region by keeping VDD less than
                                                                        the Vth and leakage current flowing through the device is
               3 aV                                                    used as drive current for ultra low power applications.
  VTH                                                       (2)
              3 DCNT                                                    Subthreshold current is exponentially dependant on gate to
Where V  3.03 q is the carbon PI-PI bond energy.    .                 source voltage (VGS) because channel of transistor is not
                                                                        inverted. Subthreshold current is given by (5),
In this work, chirality numbers (m, n)= 13,0 is used for 1nm
CNT diameter which gives Vth near to Vth of MOSFET at                                    (VGS -Vth + VDS )            -VDS

32nm technology node. Drive current in CNFET is function                   ID = I0e             nVT
                                                                                                              (1 - e    VT
                                                                                                                              )                     (5)
number of CNTs per device (n), device transconductance                  Where I0 is the drain current when VGS=0V[14].
gCNFET, VDD, Chirality vectors and hence CNT diameter and
                                                                                     W
voltage drop across the doped CNT source region (VSS).                  I 0   0 C ox  (n  1)VT 2                           (6)
gCNFET, decreases with increasing the inter CNT pitch where                           L
as Vth is constant for given diameter. Delay of CNFET given             Where Vth is transistor threshold voltage, n is subthreshold
by, [13].                                                               slop factor (n=1+Cd/Cox), VT is the thermal voltage, η is
                                                                        DIBL coefficient.
                  C CNFET, n VDD
  TCNFET, n   α                                                           B. CNFET optimisation for subthreshold region
                                                             (3)
                    I CNFET , n                                         Since subthreshold leakage current in Si-MOSFET is
                                                                        exponential function of supply voltage resistance of device

© 2011 ACEEE                                                       31
DOI: 01.IJEPE.02.01.71
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011


also increases exponentially in subthreshold region. In
subthreshold domain this increase in resistance dominates
the interconnect resistance as shown in Fig.2.Therefore it is
necessary to examine the scope of alternative device in
subthreshold interconnect resources applications. In this
section we compared the performance of CNFET as
interconnect driver with Si-MOSFET in subthreshold region
for global interconnect length from 200ìm to 1000ìm and for
semi-global interconnect length ranging from 100ìm to 500ìm
with Cu and MWCNT as interconnects.
   Since device technology parameters designed for
superthreshold region may not give the optimum                                  Figure 4. Drive current as function of supply voltage for various CNT
                                                                                                                 pitch
performance in subthreshold region. Hence it is necessary
to evaluate the performance of CNFET for different device
parameters in subthreshold region to optimize these device
parameters for better performance. Fig. 3 shows Ids as
function of VDD at various gate oxide thicknesses. It is found
that current increases exponentially up to 200mV and then
saturated, at TOX=2nm drive current shows 36% improvement
over TOX=4nm due higher gate control over channel. Fig. 4
shows I-V characteristics of CNFET at various inter CNT
pitch and it is observe that varying pitch above 20nm does
not show any significant advantage in Ids. Analysis from
Fig. 3 is verified by measuring delay of FO4 at TOX=2nm
and TOX=4nm, pitch=20nm as shown in Fig.5.




 Figure 2. Driver resistance and interconnect resistance as function of
         supply voltage and interconnect length respectively
                                                                                       Figure 6. Drive current as a function of supply voltage

                                                                                 A delay improvement of 67.5% is seen for TOX=2. Since
                                                                               as TOX reduces the charge distribution become tighter and
                                                                               the charge tends to accumulate just over the CNT channel,
                                                                               increasing drive current [15]. Conventional N-CNFET key
                                                                               parameters are Tox=4nm, Kox=16, pitch=20nm, [12].




           Figure 3. Drive current as function of supply voltage



© 2011 ACEEE                                                              32
DOI: 01.IJEPE.02.01.71
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011




            Figure 8. PDP as function of supply voltage

          To optimize CNFET (Opt-.CNFET) the selected
parameters are Tox=2nm from Fig. 3, pitch=20nm, number
of CNT tubes=10. Fig.6 shows I-V characteristics
comparison for NMOS, conventional NCNFET and
Opt.CNFET. From simulated graph it is seen that Opt.
NCNFET device is having better I-V characteristics over
Conventional NCNFET and NMOS device. For noise margin
comparison between CNFET and CMOS device we have
simulate the device for voltage transfer characteristics. Fig.
7 shows voltage transfer characteristics (VTC) of minimum
size Si-MOSFET and CNFET. For CNFET VTC curve is
symmetric and switching threshold is at VDD/2 (200mv) and
173mV for SI-MOSFET which degrades the SNM of Si-
MOSFET in subthreshold. CNFET is having steeper curves
in transition region. This contributes to improvement in SNM
over Si-MOSFET.
          To evaluate the performance comparisons between
CMOS, CNFET and Opt. CNFET devices at circuit level,
FO4 is use as a test bench for design metric comparison.
Fig. 8 shows the Power Delay Product (PDP) as function of
VDD. From Fig. 8 it is clear that Opt. CNFET shows
improvement in PDP over conventional CNFET and CMOS
due to improvement in delay.
                                                                                 Figure 11. PDP as function of global Cu interconnect length
IV.PERFORMANCE ANALYSIS OF INTERCONNECT
         DRIVERS FOR CU AND CNT
          In any circuit or chip, the individual gates need to
be connected. While it is possible to use metals as in
conventional circuits to make interconnects, the use of
metallic carbon nanotubes would be much more desirable
due to better electrical properties. The ballistic transport of
the metallic nanotubes provides significantly lower resistance
in interconnect as compared to Cu. Fig. 9 show the test bench
used for interconnect simulation with FO4 load .The
interconnect considered for simulation is global and semi-
global Cu and CNT. Fig. 10 and Fig.11 shows the delay and
PDP performances of CMOS, CNFET and opt. CNFET based
drivers for global Cu interconnect; similarly Fig. 12 and Fig.
13 shows performances for MWCNT global interconnect
length from 200µm to 1000µm.
                                                                       Figure 12. Delay as function of global MWCNT interconnects length.




© 2011 ACEEE                                                      33
DOI: 01.IJEPE.02.01.71
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011

                                                                                    It is observed from these figures that CNFET based
                                                                           driver shows the 42% and 46% improvement in delay and
                                                                           PDP over Si-MOSFET based drivers at 1000µm interconnect
                                                                           length. Optimizing the CNFET parameters shows 52% and
                                                                           63% performance improvement in delay and PDP over the
                                                                           SI-MOSFET based interconnect resource.
                                                                                    It is also observed from Fig.10 to Fig. 15 that,
                                                                           replacing the Cu interconnect by MWCNT interconnect does
                                                                           not provide any significant advantage in delay as well as
                                                                           PDP due to higher driver resistance of Si-MOSFET in
                                                                           subthreshold region as shown in Fig.2.
                                                                                    Fig. 14 and Fig. 15 shows the delay and PDP
                                                                           performances of semi-global interconnect of length 100 µm
                                                                           to 500 µm. CNFET and Opt.CNFET drivers shows significant
                                                                           improvement in delay and PDP over Si-MOSFET based
                                                                           interconnect drivers.
  Figure 13. PDP as function of global MWCNT interconnect length
                                                                                          V.VARIABILITY ANALYSIS
                                                                                     Random variation in process parameters, VDD and
                                                                           temperature (PVT) are posing a major design challenges for
                                                                           subthreshold circuit design. It is important to investigate the
                                                                           performance variability for the optimal device. There are a
                                                                           variety of device parameter variations and imperfection
                                                                           caused by today’s CNT synthesis/fabrication technique,(1)
                                                                           CNT diameter and chirality control , (2)Doping level
                                                                           control,(3) The probability of a CNT to be metallic [12].
                                                                                     Test bench used for interconnect simulation is
                                                                           shown in Fig. 9 for the analysis of process and temperature
                                                                           variation on circuit performance. Table I shows the device
                                                                           variable parameters and process variation assumption for the
                                                                           variability analysis. A 13% random variation is given to the
                                                                           CNT diameter so that it will reflect 10% random variation in
                                                                           Vth. Si-MOSFET HSPICE model files are generated from
                                                                           specific tool called “Nano CMOS” 32nm technology for
Figure 14. Delay and PDP as function of semi global Cu-interconnect
                                                                           equal Vth for fair variability comparison with CNFET at
                              length                                       (13,0) chirality vector.. Ratio of mean (µ) and standard
                                                                           deviation (σ) for delay and PDP is calculated by Monte Carlo
                                                                           simulation for 50 runs per simulation. CNT diameter affects
                                                                           not only the CNT source and drain resistance, but also the
                                                                           conductivity of the channel [16].
                                                                             TOX variation also affects the drive current of CNFET.
                                                                           Table 2 and Table 3 give variability coefficient (dispersion)
                                                                           (σ/ μ) for PDP and delay respectively. As shown in tables
                                                                           below, it has been found that CNFET (T OX=4nm) have
                                                                           superior robustness against process and temperature
                                                                           variations.




    Figure 15. Delay and PDP as function of semi global MWCNT
                        interconnect length




© 2011 ACEEE                                                          34
DOI: 01.IJEPE.02.01.71
ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011

               TABLE I. PROCESS ASSUMPTION                            Reducing the variability problems in optimized CNFET
                                                                      drivers will further allow to reduce the supply voltage for
                                                                      ultra low energy applications

                                                                                                REFERENCES
                                                                       [1] Hendrawan Soeleman, Kaushik Roy and Bipul
                                                                            C.paul,”Robust subthreshold logic for ultralow power
                                                                            operation,” in IEEE transactions on very large scale
                                                                            Integration (VLSI) system,Vol.9,No.1,pp. 90-99, Feb.2001
                                                                       [2] International Technology Roadmap for Semiconductors.,
                                                                            2005 http://www.itrs.net.
                                                                       [3] Khyoupin khoo,et al, “Aspect ratio dependence of the
                                                                            resistivity of Fine Cu Interconnects,” in Japanese Journal of
                                                                            Applied physics, Vol.46, No.7A, pp 4070-4073, July2007.
        TABLE II. VARIABILITY COEFFICIENT OF PDP
                                                                       [4] F.Kreupl et al, “Carbon nanotube for interconnect
                                                                            application,” IEEE IEDM technical digest, pp 683 686,
                                                                            December 2004.
                                                                       [5] Fred Chen,et al, “Scaling and evalution of carbon nanotube
                                                                            interconnects for VLSI application,” in Nano-net’07,pp 24-
                                                                            26, Sep. 2007.
                                                                       [6] J.Deng and H.-S.P.Wong, “A compact SPICE model for
                                                                            carbon nanotubes field effect transistors including non-
                                                                            idealities and its application-part I: Model of the intrinsic
                                                                            channel region,” Trans. IEEE Electron Devices, Vol.54,
       TABLEII I. VARIABILITY COEFFICIENT OF DELAY
                                                                            no.12, pp. 3186-3194, Dec. 2007
                                                                       [7] http://www-device.eecs.berkeley.edu/~ptm/
                                                                       [8] [online]. Available: http//www.nanohub.org/tools
                                                                       [9] H. Li, et al., Modeling of carbon nanotube interconnects and
                                                                            comparative analysis with Cu interconnects, in Proceedings
                                                                            of the Asia-Pacific Microwave Conference (APMC ’06),
                                                                            2006.M.
                                                                       [10] Dresselhaus,G. Dresselhaus,and Ph. Avouris, “Carbon
                                                                            nanotubes: synthesis, structure properties and applications,”
                                                                            Springer-Verlag berlin,2001.
                    VI. CONCLUSION                                     [11] H.S.P.Wong,J.Deng, .Hazeghi,t.Krishnamohan,G.C.Wan,
                                                                            “Carbon nanotubes transistor circuits-models and tools for
          Interconnect drivers are successfully analyzed using              design and performance optimization”,ICCAD,pp.651-654
CMOS and CNFET devices at deep submicron technology                    [12] Jie Deng, “Device modeling and circuit performance
node. We have explored optimized CNFET in subthreshold                      evaluation for nanoscale devices: silicon technology beyond
interconnect applications. It is observed that CMOS devices                 45nm node and carbon nanotube field effect transistors”,Ph.d
are more prone to variation than CNFET. CNFET driver                        thesis, Stanford University,2007
driving 1000µm cu-interconnect show 42% and 46% delay                  [13] C.Enz , F.Krummenacher and E.Vittoz, “An Analytical MOS
and PDP improvement at 1000µm over Si-MOSFET based                          Transistor model valid in All region of operation and
                                                                            Dedicated to low-voltage and low current application,”
buffers. Optimizing the CNFET parameters shows 52% and
                                                                            Special issues of the Analog integrated circuits and signal
63% performance improvement in delay and PDP over the                       processing journal on Low-voltage and low power
Si-MOSFET based interconnect resource.                                      Design,vol.8,pp.83-114,July 1996.
          The robustness of the drivers against process and            [14] K.Roy, S.Mukhopadhay and H.Mahmmod, “Leakage current
temperature variation has also investigated. From variability               mechanisms and leakage reduction techniques in deep-sub
point of view, for Si-MOSFET there is tremendous impact                     micrometer CMOS circuits,” proceeding of the IEEE, vol.91,
of process variation for subthreshold operation due to                      no.2, pp.305-327, Feb.2003.
exponential dependence of current on the threshold voltage,            [15] Kureshi A.K.,Mohd.Hasan, “Performance comparision of
where as in CNFET threshold voltage is inversely                            CNFET and cell in deep Submicron,” journal of
                                                                            Microelectronics,vol 40,No.6,pp. 179-182, June 2009.
proportional to diameter of CNT. Optimized CNFET shows
                                                                       [16] Nishant Patiln,et al, “Circuit-level performance
more variation than conventional CNFET.                                     benchmarking and scalability analysis of carbon nanotube
                                                                            transistor circuits,” IEEETrans.on Nanotechnology, Vol.8,
                                                                            No.1,, pp.37-45, January 2009.




© 2011 ACEEE                                                     35
DOI: 01.IJEPE.02.01.71

Contenu connexe

Tendances

Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices
Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices
Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices IJECEIAES
 
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...VLSICS Design
 
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless Communications
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless CommunicationsA 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless Communications
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless CommunicationsIJECEIAES
 
Performance Evaluation of DCF Length for High Scalability NG-PON2
Performance Evaluation of DCF Length for High Scalability NG-PON2Performance Evaluation of DCF Length for High Scalability NG-PON2
Performance Evaluation of DCF Length for High Scalability NG-PON2TELKOMNIKA JOURNAL
 
Design of a CMOS-based microwave active channelized bandpass filter
Design of a CMOS-based microwave active channelized bandpass filterDesign of a CMOS-based microwave active channelized bandpass filter
Design of a CMOS-based microwave active channelized bandpass filterTELKOMNIKA JOURNAL
 
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...IJECEIAES
 
Iaetsd stbc-ofdm downlink baseband receiver for mobile wman
Iaetsd stbc-ofdm downlink baseband receiver for mobile wmanIaetsd stbc-ofdm downlink baseband receiver for mobile wman
Iaetsd stbc-ofdm downlink baseband receiver for mobile wmanIaetsd Iaetsd
 
Analog and digital communication 2 marks
Analog and digital communication 2 marksAnalog and digital communication 2 marks
Analog and digital communication 2 marksBadri Surya
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYDESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYVLSICS Design
 
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimsonpublishers-Electronics
 
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...IRJET Journal
 
Performance evaluation on the basis of bit error rate for different order of ...
Performance evaluation on the basis of bit error rate for different order of ...Performance evaluation on the basis of bit error rate for different order of ...
Performance evaluation on the basis of bit error rate for different order of ...ijmnct
 
Power allocation for capacity maximization in eigen mimo with output snr cons...
Power allocation for capacity maximization in eigen mimo with output snr cons...Power allocation for capacity maximization in eigen mimo with output snr cons...
Power allocation for capacity maximization in eigen mimo with output snr cons...Zac Darcy
 
Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsIJERD Editor
 

Tendances (19)

Ijetcas14 648
Ijetcas14 648Ijetcas14 648
Ijetcas14 648
 
Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices
Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices
Theoretical Analysis of a two-stage Sagnac loop filter Using Jones Matrices
 
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
OPTIMIZATION TECHNIQUES FOR SOURCE FOLLOWER BASED TRACK-AND-HOLD CIRCUIT FOR ...
 
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless Communications
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless CommunicationsA 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless Communications
A 300 GHz CMOS Transmitter Front-End for Ultrahigh-Speed Wireless Communications
 
Performance Evaluation of DCF Length for High Scalability NG-PON2
Performance Evaluation of DCF Length for High Scalability NG-PON2Performance Evaluation of DCF Length for High Scalability NG-PON2
Performance Evaluation of DCF Length for High Scalability NG-PON2
 
Design of a CMOS-based microwave active channelized bandpass filter
Design of a CMOS-based microwave active channelized bandpass filterDesign of a CMOS-based microwave active channelized bandpass filter
Design of a CMOS-based microwave active channelized bandpass filter
 
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...
Average Channel Capacity of Amplify-and-forward MIMO/FSO Systems Over Atmosph...
 
Iaetsd stbc-ofdm downlink baseband receiver for mobile wman
Iaetsd stbc-ofdm downlink baseband receiver for mobile wmanIaetsd stbc-ofdm downlink baseband receiver for mobile wman
Iaetsd stbc-ofdm downlink baseband receiver for mobile wman
 
H010235358
H010235358H010235358
H010235358
 
Analog and digital communication 2 marks
Analog and digital communication 2 marksAnalog and digital communication 2 marks
Analog and digital communication 2 marks
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYDESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
 
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAMCrimson Publishers-Performance Analysis of CNFET Based 6T SRAM
Crimson Publishers-Performance Analysis of CNFET Based 6T SRAM
 
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...
IRJET-Design of Capacitor Less LDO Regulator by using Cascode Compensation Te...
 
Performance evaluation on the basis of bit error rate for different order of ...
Performance evaluation on the basis of bit error rate for different order of ...Performance evaluation on the basis of bit error rate for different order of ...
Performance evaluation on the basis of bit error rate for different order of ...
 
An03 dws
An03 dwsAn03 dws
An03 dws
 
Power allocation for capacity maximization in eigen mimo with output snr cons...
Power allocation for capacity maximization in eigen mimo with output snr cons...Power allocation for capacity maximization in eigen mimo with output snr cons...
Power allocation for capacity maximization in eigen mimo with output snr cons...
 
Interconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission SystemsInterconnected Serialized Architecture for Transmission Systems
Interconnected Serialized Architecture for Transmission Systems
 
38 41
38 4138 41
38 41
 

Similaire à Performance Analysis of Interconnect Drivers for Ultralow Power Applications

Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopIAEME Publication
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellWaqas Tariq
 
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...IRJET Journal
 
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...VLSICS Design
 
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOS
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOSEnergy Efficient and Process Tolerant Full Adder in Technologies beyond CMOS
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOSIDES Editor
 
Performance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designPerformance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designeSAT Publishing House
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...VLSICS Design
 
Carbon Nanotube Based Circuit Designing: A Review
Carbon Nanotube Based Circuit Designing: A ReviewCarbon Nanotube Based Circuit Designing: A Review
Carbon Nanotube Based Circuit Designing: A ReviewIJERDJOURNAL
 
Mlgnr interconnects with finfet driver optimized delay and power performance ...
Mlgnr interconnects with finfet driver optimized delay and power performance ...Mlgnr interconnects with finfet driver optimized delay and power performance ...
Mlgnr interconnects with finfet driver optimized delay and power performance ...eSAT Publishing House
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerIJMTST Journal
 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...IJERA Editor
 
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitAnalysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitIRJET Journal
 
5. CNTFET-based design of ternary.pdf
5. CNTFET-based design of ternary.pdf5. CNTFET-based design of ternary.pdf
5. CNTFET-based design of ternary.pdfRaghu Vamsi
 
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdf
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdfcarbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdf
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdfbatpad
 
Set and seu analysis of cntfet based designs in harsh environments
Set and seu analysis of cntfet based designs in harsh environmentsSet and seu analysis of cntfet based designs in harsh environments
Set and seu analysis of cntfet based designs in harsh environmentseSAT Publishing House
 
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...Performance analysis of cntfet and mosfet focusing channel length, carrier mo...
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...IJAMSE Journal
 
CNTFET Based Analog and Digital Circuit Designing: A Review
CNTFET Based Analog and Digital Circuit Designing: A ReviewCNTFET Based Analog and Digital Circuit Designing: A Review
CNTFET Based Analog and Digital Circuit Designing: A ReviewIJMERJOURNAL
 

Similaire à Performance Analysis of Interconnect Drivers for Ultralow Power Applications (20)

Design and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flopDesign and analysis of cntfet based d flip flop
Design and analysis of cntfet based d flip flop
 
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM CellDual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
Dual-Diameter Variation –Immune CNFET-based 7T SRAM Cell
 
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...
A Comparative Performance Analysis of Copper on Chip and CNTFET Nano Intercon...
 
Kg3418451855
Kg3418451855Kg3418451855
Kg3418451855
 
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...
DESIGNING HIGH-SPEED, LOW-POWER FULL ADDER CELLS BASED ON CARBON NANOTUBE TEC...
 
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOS
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOSEnergy Efficient and Process Tolerant Full Adder in Technologies beyond CMOS
Energy Efficient and Process Tolerant Full Adder in Technologies beyond CMOS
 
Performance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designPerformance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator design
 
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFE...
 
Carbon Nanotube Based Circuit Designing: A Review
Carbon Nanotube Based Circuit Designing: A ReviewCarbon Nanotube Based Circuit Designing: A Review
Carbon Nanotube Based Circuit Designing: A Review
 
Mlgnr interconnects with finfet driver optimized delay and power performance ...
Mlgnr interconnects with finfet driver optimized delay and power performance ...Mlgnr interconnects with finfet driver optimized delay and power performance ...
Mlgnr interconnects with finfet driver optimized delay and power performance ...
 
CNFET Technology
CNFET TechnologyCNFET Technology
CNFET Technology
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerArea Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner
 
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology U...
 
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder CircuitAnalysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
Analysis of FinFET and CNTFET based HybridCMOS Full Adder Circuit
 
5. CNTFET-based design of ternary.pdf
5. CNTFET-based design of ternary.pdf5. CNTFET-based design of ternary.pdf
5. CNTFET-based design of ternary.pdf
 
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdf
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdfcarbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdf
carbon nano tube field effect transistor (1) - MAYANK PRATAP.pptx.pdf
 
Set and seu analysis of cntfet based designs in harsh environments
Set and seu analysis of cntfet based designs in harsh environmentsSet and seu analysis of cntfet based designs in harsh environments
Set and seu analysis of cntfet based designs in harsh environments
 
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...Performance analysis of cntfet and mosfet focusing channel length, carrier mo...
Performance analysis of cntfet and mosfet focusing channel length, carrier mo...
 
CNTFET Based Analog and Digital Circuit Designing: A Review
CNTFET Based Analog and Digital Circuit Designing: A ReviewCNTFET Based Analog and Digital Circuit Designing: A Review
CNTFET Based Analog and Digital Circuit Designing: A Review
 

Plus de IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

Plus de IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Dernier

A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEarley Information Science
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessPixlogix Infotech
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)wesley chun
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfsudhanshuwaghmare1
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 

Dernier (20)

A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 

Performance Analysis of Interconnect Drivers for Ultralow Power Applications

  • 1. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 Performance Analysis of Interconnect Drivers for Ultralow Power Applications S.D.Pable, Mohd. Hasan Department of Electronics Engineering Zakir Husain College of Engg. and Technology Aligarh Muslim University, Aligarh, U.P., India Abstract—ultralow power consumption requirement of low these limitations, the use of the bundles of metallic carbon throughput applications needs to operate circuits in nanotubes (CNT) as interconnect has been proposed as a subthreshold region where subthreshold leakage current is used possible replacement for Cu interconnect [3][4]. as active current for necessary computations. This paper Electromigration is negligible in Cu interconnect with investigates the impact of interconnect drivers on digital circuit subtheshold circuits because of low current density but higher performance in subthreshold region. In particular, we have resistance of driver degrades interconnects performances. investigates the performance of Si-MOSFET and CNFETs at 32nm deep submicron technology node. Performance Analysis With reported current density of around 109 A/cm2, CNT is carried out for different interconnect drivers driving global have larger current carrying capabilities than traditional Cu interconnect. We have proposed an optimized CNFET driver interconnect of the order of 103 A/cm2. For Nanotube based which gives the significant improvement in delay and PDP over interconnects previous research primarily focused on impact conventional CNFET in subthreshold for global and semi-global of RLC on power dissipation and delay [5][6]. In this work interconnect length. HSPICE device model files generated from equivalent RLC parameters of the interconnect line are “Nano CMOS” tool are use for Si-MOSFET to analyze the extracted from PTM [7] tool for Cu. The equivalent RLC impact of Process and Temperature (P, T) variations on parameters of CNT interconnect are extracted using Carbon robustness of circuit for fair comparison with CNFET. Nanotube Interconnect Analyser (CNIA) [8] with Variability of design metric parameters is evaluated by applying Gaussian distribution using Monte Carlo simulation run. interconnect geometry suggested in [9]. Performance analysis of different interconnect Index Terms— Subthreshold, Ultra-low power, CNFET, Monte- drivers is carried out for CNT and copper wire interconnect Carlo analysis. for different semi-global and global interconnect lengths. For fair comparison between MOSFET and Carbon Nano I INTRODUCTION Tube Field Effect Transistors (CNFET) drivers same Vth is selected. For simulation purpose, we have used PTM model Minimizing power consumption is a challenging parameter of Si-MOSFET at 32nm technology node [7]. High task to the researchers for designing digital circuits with ultra performance Stanford CNFET device model files which low power (ULP) digital portable applications. Due to successfully accounts for CNFET practical non-idealities, aggressive scaling of transistor size according to Moore’s such as scattering, effects of the source/drain extension law, gate leakage, drain substrate junction band to band region, and inter-CNT charge screening effects [6] are used tunneling current and subthreshold current increases for CNFET simulation. significantly in super threshold, limiting further scaling down The rest of the paper is organized as follows. In of devices for ULP applications. Recently, subthreshold section II, basic structure of CNFET is explores. In section operating region is of very much interest to reduce the energy III, CMOS and CNFET device performance analysis carried up to the ultralow levels. Subthreshold operation of transistor out to obtain optimal parameter for CNFET to achieve higher can have order of magnitude power saving over super drive current. Section IV compares the interconnect drivers threshold circuits [1]. In subthreshold operating region performances for Cu and CNT interconnects. In section V, leakage current is used as drive current. This small leakage variability analysis of CMOS and CNFET based current, however limits the maximum performance at which interconnects resource is carried out using sufficient Monte the subthreshold circuit can be operated. Since supply voltage Carlo simulation run and Section VI draws the conclusion (VDD) is less than threshold voltage (Vth), delay increases from this paper. exponentially [1]. Subthreshold circuits operation uses low VDD to minimize the energy consumed by digital circuits and II BASIC STRUCTURE OF CNFET has thus become popular option for research in ULP. As CMOS process scales into the deep submicron CNFETs is one of the most promising devices among region, lithography limitation, electro migration and delay emerging technologies. Most of the fundamental limitations of copper interconnect has driven the need to find alternative for traditional MOSFETs are mitigated in CNFETs. Research interconnect solution [2]. In order to overcome community actively investigates CNFET as promising device for integrated circuit technology at the end or beyond the ITRS roadmap [2]. The CNFET offers many potential © 2011 ACEEE 30 DOI: 01.IJEPE.02.01.71
  • 2. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 The switching energy of CNFET is proportional to capacitance of CNFET and given by, [13] Energy CNFET, n  CCNFET,n V DD 2 (4) Where, CCNFET, n is capacitance of CNFET gate and is approximately equal to its gate capacitance (CCNFET,n). Gate capacitance of CNFET with n number of tubes is given by is given by, CCNFET, n  n.C g L  C W (5) -CNT1 g, CNT g-parasitic g,CNT Figure 1. Basic structure of CNFET Where, Wg, CNT is width of CNFET and Lg, CNT is the length of the gate. Cg-CNT1 is almost constant for n. [13]. advantages with respect to MOSFET due to improvement in Total gate capacitance is proportion to number of CNTs in CV/I of intrinsic CNFET (19, 0). CNFETs show 13×CV/ with channel, length of channel and pitch. It is necessary to use I improvement over NMOS in superthreshold region at 32nm optimum value of number of tubes and pitch to increase the technology node due to near ballistic CNT transport [6].These drive current as well as to keep the capacitance within advantage leads us to investigate the CNFET performance acceptable limit. in subthreshold region also. The single wall carbon nanotubes (SWCNTs) is one III.CMOS AND CNFET INVERTER ANALYSIS IN dimensional conductor obtained by sheets of grapheme rolled SUBTHRESHOLD REGION in the forms of tubes, depending on the chirality’s, the single walled CNTs can be either metallic or semi conducting A. Subthreshold leakage Current [10][11]. CNFET is obtained by replacing the channel of a For very long wire, interconnect delay would be so conventional MOSFET by a number of carbon nanotubes, long that it can be dominate the gate delay. In ICs, a global as shown in Fig. 1. As shown in Fig. 1 CNTs are placed on wire tends to be very long since they must be distributed all the bulk substrate (k2), a high (k1) dielectric separates the over the chip. These wires are associated with clocks, busses, CNTs from metal gate electrode by an insulator thickness and other major signals in the design. Since delay for long Tox=4nm with dielectric constant of 16. The diameter of wire is quadratic with respect to interconnect length (L), the CNT is given by the (1), standard solution is to insert repeaters or buffer periodically along the wire. As drive current in subthreshold circuit is a m 2  mn  n 2 D CNT  (1) leakage current due to diffusion, therefore delay penalty is π very high which degrades the circuit performance in Where (m, n) are chirality number of CNT, ‘a’ is Lattice subthreshold regime. To overcome this problems driver with constant (a=2.49e-10). SWCNTs can be grouped as either better delay response needed to be design. metallic nanotubes if m-n is an integer multiple of 3 or Unlike conventional CMOS in which the drain current IDS is semiconducting nanotubes if m-n is not an integer dominated by transport of carrier due to drift, diffusion multiple of 3 current dominates in subthreshold operation. Transistors are Threshold voltage of CNFET given by (2) [12], operated in subthreshold region by keeping VDD less than the Vth and leakage current flowing through the device is 3 aV  used as drive current for ultra low power applications. VTH  (2) 3 DCNT Subthreshold current is exponentially dependant on gate to Where V  3.03 q is the carbon PI-PI bond energy. . source voltage (VGS) because channel of transistor is not inverted. Subthreshold current is given by (5), In this work, chirality numbers (m, n)= 13,0 is used for 1nm CNT diameter which gives Vth near to Vth of MOSFET at (VGS -Vth + VDS ) -VDS 32nm technology node. Drive current in CNFET is function ID = I0e nVT (1 - e VT ) (5) number of CNTs per device (n), device transconductance Where I0 is the drain current when VGS=0V[14]. gCNFET, VDD, Chirality vectors and hence CNT diameter and W voltage drop across the doped CNT source region (VSS). I 0   0 C ox (n  1)VT 2 (6) gCNFET, decreases with increasing the inter CNT pitch where L as Vth is constant for given diameter. Delay of CNFET given Where Vth is transistor threshold voltage, n is subthreshold by, [13]. slop factor (n=1+Cd/Cox), VT is the thermal voltage, η is DIBL coefficient. C CNFET, n VDD TCNFET, n α B. CNFET optimisation for subthreshold region (3) I CNFET , n Since subthreshold leakage current in Si-MOSFET is exponential function of supply voltage resistance of device © 2011 ACEEE 31 DOI: 01.IJEPE.02.01.71
  • 3. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 also increases exponentially in subthreshold region. In subthreshold domain this increase in resistance dominates the interconnect resistance as shown in Fig.2.Therefore it is necessary to examine the scope of alternative device in subthreshold interconnect resources applications. In this section we compared the performance of CNFET as interconnect driver with Si-MOSFET in subthreshold region for global interconnect length from 200ìm to 1000ìm and for semi-global interconnect length ranging from 100ìm to 500ìm with Cu and MWCNT as interconnects. Since device technology parameters designed for superthreshold region may not give the optimum Figure 4. Drive current as function of supply voltage for various CNT pitch performance in subthreshold region. Hence it is necessary to evaluate the performance of CNFET for different device parameters in subthreshold region to optimize these device parameters for better performance. Fig. 3 shows Ids as function of VDD at various gate oxide thicknesses. It is found that current increases exponentially up to 200mV and then saturated, at TOX=2nm drive current shows 36% improvement over TOX=4nm due higher gate control over channel. Fig. 4 shows I-V characteristics of CNFET at various inter CNT pitch and it is observe that varying pitch above 20nm does not show any significant advantage in Ids. Analysis from Fig. 3 is verified by measuring delay of FO4 at TOX=2nm and TOX=4nm, pitch=20nm as shown in Fig.5. Figure 2. Driver resistance and interconnect resistance as function of supply voltage and interconnect length respectively Figure 6. Drive current as a function of supply voltage A delay improvement of 67.5% is seen for TOX=2. Since as TOX reduces the charge distribution become tighter and the charge tends to accumulate just over the CNT channel, increasing drive current [15]. Conventional N-CNFET key parameters are Tox=4nm, Kox=16, pitch=20nm, [12]. Figure 3. Drive current as function of supply voltage © 2011 ACEEE 32 DOI: 01.IJEPE.02.01.71
  • 4. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 Figure 8. PDP as function of supply voltage To optimize CNFET (Opt-.CNFET) the selected parameters are Tox=2nm from Fig. 3, pitch=20nm, number of CNT tubes=10. Fig.6 shows I-V characteristics comparison for NMOS, conventional NCNFET and Opt.CNFET. From simulated graph it is seen that Opt. NCNFET device is having better I-V characteristics over Conventional NCNFET and NMOS device. For noise margin comparison between CNFET and CMOS device we have simulate the device for voltage transfer characteristics. Fig. 7 shows voltage transfer characteristics (VTC) of minimum size Si-MOSFET and CNFET. For CNFET VTC curve is symmetric and switching threshold is at VDD/2 (200mv) and 173mV for SI-MOSFET which degrades the SNM of Si- MOSFET in subthreshold. CNFET is having steeper curves in transition region. This contributes to improvement in SNM over Si-MOSFET. To evaluate the performance comparisons between CMOS, CNFET and Opt. CNFET devices at circuit level, FO4 is use as a test bench for design metric comparison. Fig. 8 shows the Power Delay Product (PDP) as function of VDD. From Fig. 8 it is clear that Opt. CNFET shows improvement in PDP over conventional CNFET and CMOS due to improvement in delay. Figure 11. PDP as function of global Cu interconnect length IV.PERFORMANCE ANALYSIS OF INTERCONNECT DRIVERS FOR CU AND CNT In any circuit or chip, the individual gates need to be connected. While it is possible to use metals as in conventional circuits to make interconnects, the use of metallic carbon nanotubes would be much more desirable due to better electrical properties. The ballistic transport of the metallic nanotubes provides significantly lower resistance in interconnect as compared to Cu. Fig. 9 show the test bench used for interconnect simulation with FO4 load .The interconnect considered for simulation is global and semi- global Cu and CNT. Fig. 10 and Fig.11 shows the delay and PDP performances of CMOS, CNFET and opt. CNFET based drivers for global Cu interconnect; similarly Fig. 12 and Fig. 13 shows performances for MWCNT global interconnect length from 200µm to 1000µm. Figure 12. Delay as function of global MWCNT interconnects length. © 2011 ACEEE 33 DOI: 01.IJEPE.02.01.71
  • 5. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 It is observed from these figures that CNFET based driver shows the 42% and 46% improvement in delay and PDP over Si-MOSFET based drivers at 1000µm interconnect length. Optimizing the CNFET parameters shows 52% and 63% performance improvement in delay and PDP over the SI-MOSFET based interconnect resource. It is also observed from Fig.10 to Fig. 15 that, replacing the Cu interconnect by MWCNT interconnect does not provide any significant advantage in delay as well as PDP due to higher driver resistance of Si-MOSFET in subthreshold region as shown in Fig.2. Fig. 14 and Fig. 15 shows the delay and PDP performances of semi-global interconnect of length 100 µm to 500 µm. CNFET and Opt.CNFET drivers shows significant improvement in delay and PDP over Si-MOSFET based interconnect drivers. Figure 13. PDP as function of global MWCNT interconnect length V.VARIABILITY ANALYSIS Random variation in process parameters, VDD and temperature (PVT) are posing a major design challenges for subthreshold circuit design. It is important to investigate the performance variability for the optimal device. There are a variety of device parameter variations and imperfection caused by today’s CNT synthesis/fabrication technique,(1) CNT diameter and chirality control , (2)Doping level control,(3) The probability of a CNT to be metallic [12]. Test bench used for interconnect simulation is shown in Fig. 9 for the analysis of process and temperature variation on circuit performance. Table I shows the device variable parameters and process variation assumption for the variability analysis. A 13% random variation is given to the CNT diameter so that it will reflect 10% random variation in Vth. Si-MOSFET HSPICE model files are generated from specific tool called “Nano CMOS” 32nm technology for Figure 14. Delay and PDP as function of semi global Cu-interconnect equal Vth for fair variability comparison with CNFET at length (13,0) chirality vector.. Ratio of mean (µ) and standard deviation (σ) for delay and PDP is calculated by Monte Carlo simulation for 50 runs per simulation. CNT diameter affects not only the CNT source and drain resistance, but also the conductivity of the channel [16]. TOX variation also affects the drive current of CNFET. Table 2 and Table 3 give variability coefficient (dispersion) (σ/ μ) for PDP and delay respectively. As shown in tables below, it has been found that CNFET (T OX=4nm) have superior robustness against process and temperature variations. Figure 15. Delay and PDP as function of semi global MWCNT interconnect length © 2011 ACEEE 34 DOI: 01.IJEPE.02.01.71
  • 6. ACEEE Int. J. on Electrical and Power Engineering, Vol. 02, No. 01, Feb 2011 TABLE I. PROCESS ASSUMPTION Reducing the variability problems in optimized CNFET drivers will further allow to reduce the supply voltage for ultra low energy applications REFERENCES [1] Hendrawan Soeleman, Kaushik Roy and Bipul C.paul,”Robust subthreshold logic for ultralow power operation,” in IEEE transactions on very large scale Integration (VLSI) system,Vol.9,No.1,pp. 90-99, Feb.2001 [2] International Technology Roadmap for Semiconductors., 2005 http://www.itrs.net. [3] Khyoupin khoo,et al, “Aspect ratio dependence of the resistivity of Fine Cu Interconnects,” in Japanese Journal of Applied physics, Vol.46, No.7A, pp 4070-4073, July2007. TABLE II. VARIABILITY COEFFICIENT OF PDP [4] F.Kreupl et al, “Carbon nanotube for interconnect application,” IEEE IEDM technical digest, pp 683 686, December 2004. [5] Fred Chen,et al, “Scaling and evalution of carbon nanotube interconnects for VLSI application,” in Nano-net’07,pp 24- 26, Sep. 2007. [6] J.Deng and H.-S.P.Wong, “A compact SPICE model for carbon nanotubes field effect transistors including non- idealities and its application-part I: Model of the intrinsic channel region,” Trans. IEEE Electron Devices, Vol.54, TABLEII I. VARIABILITY COEFFICIENT OF DELAY no.12, pp. 3186-3194, Dec. 2007 [7] http://www-device.eecs.berkeley.edu/~ptm/ [8] [online]. Available: http//www.nanohub.org/tools [9] H. Li, et al., Modeling of carbon nanotube interconnects and comparative analysis with Cu interconnects, in Proceedings of the Asia-Pacific Microwave Conference (APMC ’06), 2006.M. [10] Dresselhaus,G. Dresselhaus,and Ph. Avouris, “Carbon nanotubes: synthesis, structure properties and applications,” Springer-Verlag berlin,2001. VI. CONCLUSION [11] H.S.P.Wong,J.Deng, .Hazeghi,t.Krishnamohan,G.C.Wan, “Carbon nanotubes transistor circuits-models and tools for Interconnect drivers are successfully analyzed using design and performance optimization”,ICCAD,pp.651-654 CMOS and CNFET devices at deep submicron technology [12] Jie Deng, “Device modeling and circuit performance node. We have explored optimized CNFET in subthreshold evaluation for nanoscale devices: silicon technology beyond interconnect applications. It is observed that CMOS devices 45nm node and carbon nanotube field effect transistors”,Ph.d are more prone to variation than CNFET. CNFET driver thesis, Stanford University,2007 driving 1000µm cu-interconnect show 42% and 46% delay [13] C.Enz , F.Krummenacher and E.Vittoz, “An Analytical MOS and PDP improvement at 1000µm over Si-MOSFET based Transistor model valid in All region of operation and Dedicated to low-voltage and low current application,” buffers. Optimizing the CNFET parameters shows 52% and Special issues of the Analog integrated circuits and signal 63% performance improvement in delay and PDP over the processing journal on Low-voltage and low power Si-MOSFET based interconnect resource. Design,vol.8,pp.83-114,July 1996. The robustness of the drivers against process and [14] K.Roy, S.Mukhopadhay and H.Mahmmod, “Leakage current temperature variation has also investigated. From variability mechanisms and leakage reduction techniques in deep-sub point of view, for Si-MOSFET there is tremendous impact micrometer CMOS circuits,” proceeding of the IEEE, vol.91, of process variation for subthreshold operation due to no.2, pp.305-327, Feb.2003. exponential dependence of current on the threshold voltage, [15] Kureshi A.K.,Mohd.Hasan, “Performance comparision of where as in CNFET threshold voltage is inversely CNFET and cell in deep Submicron,” journal of Microelectronics,vol 40,No.6,pp. 179-182, June 2009. proportional to diameter of CNT. Optimized CNFET shows [16] Nishant Patiln,et al, “Circuit-level performance more variation than conventional CNFET. benchmarking and scalability analysis of carbon nanotube transistor circuits,” IEEETrans.on Nanotechnology, Vol.8, No.1,, pp.37-45, January 2009. © 2011 ACEEE 35 DOI: 01.IJEPE.02.01.71