SlideShare une entreprise Scribd logo
1  sur  6
Télécharger pour lire hors ligne
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
596 | P a g e
A Proposed Design of Five Transistor CMOS SRAM Cell for
High Speed Applications
Bala Rama Pavithra[1]
, Suresh Angadi[2]
[1]
ECE Department, K L University
[2]Assistant Professor , ECE Department ,K L University
Abstract
Static random access memories (SRAMs)
is made up of very large scale integrated (VLSI)
circuits. A SRAM cell to operate in the deep sub-
micron ranges it should meet some stringent
requirements . This paper presents a new five
transistor (5T) CMOS SRAM cell to accomplish
improvements in stability, power dissipation, and
performance over previous designs, for high
speed and high stability memory operation. This
circuit is simulated in a proprietary 180 nm
CMOS process, using Cadence Spectre and
BSIM3v3 models. Here we are comparing 5T
SRAM cell with 6TSRAM cell and thus proving
how 5T SRAM cells are more beneficial through
various simulations.
Keywords– CMOS, SRAM, VLSI, Static Noise
Margin (SNM).
I. INTRODUCTION
Colossal advances in CMOS technology
have made it possible to design chips with high
integration density, better performance, and low
power consumption. To attain these objectives, the
feature size of the CMOS devices has faced
aggressive scaling down to very small features and
dimensions. However, the leakage current has
increased immensely with technology scaling, and
has become a major contributor to the total IC power
[1]. In addition, as feature size of CMOS devices
scales down, the random variations in process
parameters have emerged as a major design
challenge in circuit design [2]. These random
variations of device parameters in nano-scale CMOS
technologies include random variations in channel
length, channel width, oxide thickness, threshold
voltage, etc [2]. These random parameter variations
result in significant variation in the characteristic of
digital circuits.
Modern microprocessors employ on-chip
caches, which can effectively reduces the speed gap
between the processor and main memory to boost
system performance. These on-chip caches are
usually implemented using arrays of SRAM cells. A
six transistor (6T) SRAM cell, shown in Fig. 1, is
conventionally used as the memory cell. However,
the mismatch in the strength between transistors of
6T SRAM cell due to process variations can results
in failure during read operation, i.e. flipping of the
cell data while reading, especially at lower levels of
VDD[3]. Therefore, conventionalSRAM cell shows
poor stabilityat verysmallfeature size. In addition, as
CMOS technology scales down, an increase in total
leakage current of a chip is observed.
Fig. 1. Conventional 6T SRAM Cell
Moreover, the total leakage current of a
chip is proportional to the number of transistors on
the chip. Since the SRAM includeslarge number of
transistors on a chip, the SRAM leakage has also
become a more significant component of total chip
leakage in scaled CMOS technology. Hence,
stability during read operation and leakage current of
SRAM cell are two most prominent parameters in
designing of SRAM cell in nano-scale CMOS
technologies.
A novel 5T SRAM cell [4] has been
previously proposed as an improvement to the
standard six transistor (6T) SRAM cell model in
various aspects. This 5T SRAM cell, as shown in
Fig. 2, comprises two inverters, connected back-to-
back and one additional transistor that is used to
access the cell for read and write. Here both the bit-
lines are precharged to VDD to retain the data during
standby mode. However, the speed of a cell, which
characterizes the performance of the cell, is still to
be improved to reduce the speed gap further between
processor and main memory.
In response to these challenges in both
conventional 6T and novel 5T SRAM cells, a new
5T SRAM cell has been proposed and its
performance issues are discussed. The rest of the
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
597 | P a g e
paper is organized as follows.Section II discusses
basic structure, write, and read operationsof the
proposed 5T SRAM cell.Section III explores
detailed static noise margin (SNM) analysis of the
proposed cell under various modes.Section IV
presents the simulation resultsperformed
Fig. 2. Novel 5T SRAM Cell
in a proprietary 180 nm CMOS process.On the basis
of results analyzed, section V concludes the
significance of the proposed cell in various high
speed, high stability, and low power applications.
II. PROPOSED 5T SRAM CELL
Fig. 3 shows the proposed five transistor
(5T) SRAM cell. In this cell, Inverter NMOS
transistors (M1, M3) are directly connected to the bit
lines, PMOS transistors (M2, M4) are connected to
power supply voltage (VDD), and thereis an additional
transistor M5 coupling the inverters. Unlike standard
cell, no word line transistors are needed to provide
access during the read and write cycles. In contrast to
novel 5T cell, bit lines of the proposed cell are
precharged to ground.
A. Standby Mode
Before discussing the operation of proposed
SRAM cell, operations of the previously introduced
novel 5T cell will be reviewed to clarify the
difference between former and later.In the novel 5T
cell, introduced earlier [4], when the cell is in a stand
by cycle, M5 is turned off by keeping wordline(WL)
at ground, the bitlines are precharged to VDD, and the
data is preserved by the cross-coupled inverters.In the
proposed 5T cell, as shown in Fig. 3, during stand by
period (precharge stage) the wordline (WL) associated
with M5 is set to low, which turns off M5, and
bitlines are precharged to ground so that the data
which was written during write operation is retained
by the cross-coupled inverters.
B. Write Operation
The write operation is accomplished by
effectively asserting the wordline
(WL).Simultaneously, depending on
Fig. 3. Proposed 5T SRAM Cell
the state already stored in the cell, either write “0” or
write “1” signalis activated to push one of the
bitlines to approximately 2/3 VDD so that the
contents of the cell will flip to reflect the bitline
data. Consider the situations for the two possible
write operations that can be performed on the cell:
Write “0” Operation
Assume that initially, i.e. before write “0”
operation, the values of the Q and Q_b of the cell are
at “1” and “0” respectively. In this stage, transistors
M2 and M3 are in the triode region, and M1 and M4
are in cut-off. The operation of write “0” is
accomplished by forcing BL_b to approximately
2/3VDD by turning on both the PMOS transistors
associated with write “0” and EN signals. Now the
source voltage of the NMOS transistor M3 is at
approximately 2/3 VDD rather than “0”, and there is a
charge transfer between input terminals of the
inverters because of turn on transistor M5. Thus Q_b
is getting charged towards VDD due to M3, which is
conducting in the triode region. When the voltage at
Q_b exceeds the threshold voltage of M1, the voltage
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
598 | P a g e
at Q starts discharging towards “0”. This initiates a
regenerative effect between the two inverters [5].
Eventually, M2 turns off and the voltage at Q falls to
“0” due to the pull-down action of M1.
Simultaneously, M4turns on and the voltage at
Q_brises to VDD due to the pull-up action of M4.
When the cell finally flips to the new state, the
wordline associated with M5 is returned to its low
stand by level.
Write “1” Operation
Assume that initially, i.e. before write “1”
operation, the values of the Q and Q_b of the cell are
at “0” and “1” respectively. In this stage, transistors
M1and M4 are in the triode region, and M2 and M3
are in cut-off. The operation of write “1” is
accomplished by forcing BL to approximately 2/3
VDD by turning on both the PMOS transistors
associated with write “1” and EN signals. Now the
source voltage of the NMOS transistor M1 is at
approximately 2/3 VDD rather than “0”, and there is a
charge transfer between input terminals of the
inverters because of turn on transistor M5. Thus Q is
getting charged towards VDD due to M1, which is
conducting in the triode region. When the voltage at
Q exceeds the threshold voltage of M3, the voltage at
Q_b starts discharging towards “0”. This initiates a
regenerative effect between the two inverters [5].
Eventually, M4 turns off and the voltage at Q_b falls
to “0” due to the pull-down action of M3.
Simultaneously, M2 turns on and the voltage at Q
rises to VDD due to the pull-up action of M2. Both
write operations are clearly shown in Fig. 4.
C. Read Operation
The read operation is achieved simply by
asserting the wordline (WL), which is associated with
the additional transistorM5. Consider the situations
for the two possible read operations that can be
performed on the cell:
Read “0” Operation
Assume that a “0” is stored in the cell, which
implies that Q and Q_b of the cell are at “0” and “1”
respectively. Therefore, transistors M1 and M4 are in
the triode region and M2 and M3are in cutoff.
Initially, BL andBL_bare precharged toa low voltage
around ground by a pair of column pull-down
transistors as shown in Fig. 3. The wordline (WL),
held low in the stand by state, is now raised to VDD
which turns on additional transistor M5, which in turn
creates a current path from the bitline to VDD through
the cell. This results in the voltage at Q increases to a
littleamount from ground and at the same time the
voltage at Q_b decreases by a little amount from VDD.
The voltage at Q is transferred immediately to BL due
to M1, which is conducting in the triode
region.Meanwhile, on the other side of the cell, the
voltage on BL_b remains low since thecolumn pull
down transistor dominates the transistor M3, which is
conducting at the edge of the cut-off region. The
difference between BL and BL_b is fed to a sense
amplifier in a proper manner to generate a valid low
output, which is then stored in a data output buffer. In
contrast to conventional 6T SRAM cell, here the
bitlines are fed to the sense amplifier in inverted
fashion to read proper data from the cell. Upon
successful completion of read cycle, the wordline
(WL) is returned to its low stand by level and both the
bitlines are precharged back to a value around
ground.
Read “1” Operation
Assume that a “1” is stored in the cell, which
impliesthat Q and Q_b of the cell are at “1” and “0”
respectively. Therefore, transistors M2 and M3 are in
the triode region and M1 and M4are in
cutoff.Initially, BL andBL_bare prechargedtoa low
voltage around ground by a pair of column pull-
downtransistors asshown in Fig. 3. The word
Fig. 4. Waveforms for writeand read operations of
proposed 5T SRAM
line (WL), held low in the stand by state, is raised to
VDD which turns on additional transistor M5, which in
turn creates a current path from the bitline to VDD
through the cell. This results in the voltage at Q_b
increases to little amount from ground, and at the
same time the voltage at Q decreases by a little
amount from VDD. The voltage at Q_b is transferred
immediately to BL_b due to transistor M3, which is
conducting in the triode region. Meanwhile, on the
other side of the cell, the voltage on BL remains low
since the column pull down transistor dominates the
transistor M1, which is conducting at the edge of the
cut-off region. As mentioned in read “0” operation,
here also the difference between BL and BL_b is fed
to a sense amplifier in a proper manner to generate a
valid high output. The length of the additional
transistor M5 is 3-4 times longer than the minimum
length (Lmin), and all the transistor sizes have been
properly designed so that the cell can preserve data
during read operation. Both the read operations are
clearly shown in Fig. 4.
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
599 | P a g e
III. STATIC NOISE MARGIN ANALYSIS OF
PROPOSED FIVE TRANSISTOR SRAM CELL
The stability and robustness of a proposed
SRAM cell is usually evaluated by analyzing both its
dynamic and static behavior during the write, read,
and hold operations. Stability of the memory cell can
be estimated from the static noise margin (SNM)
analysis. SNM is defined as the minimum DC noise
voltage needed to flip the cell state [6],and is used to
quantify the stability of the SRAM cell using a static
approach. A significant effort has been devoted to
explore the impact of process variations using the
SNM. Here about proposed 5T SRAM cell’s static
stability during read and hold period has been
presented, and the differences between SNM during
hold and read modes are compared. The read mode is
usually identified as the cell’s weakest mode.
A. SNM During Hold Mode
The SRAM cell immunity to static noise is
measured in terms of SNM that quantifies the
maximum amount of voltage noise that the cell can
tolerate at the output nodes of the cross-coupled
inverters without flipping the cell. The graphical
method to determine the SNM uses the static voltage
transfer characteristics (VTC) of the SRAM cell
inverters.
Fig. 5 superimposes the VTC of one inverter
to the inverse VTC of the other inverter. The resulting
two lobed graph is called a “butterfly” curve and is
used to determine SNM. Its value is defined as the
side length of the largest square that can be fitted
inside the lobes of the “butterfly” curve [6]. Fig. 5
shows that the variation of the “butterfly” curves for
two supply voltages (VDD, 2/3 VDD) during hold
operation. It clearly shows that lowering the power
supply voltage reduces the SNM.
B. SNM During Read Mode
Static noise margin is a key performance
factor to estimate the ability of the cell that can
preserve data during the read operation. SNM during
read can be evaluated from voltage transfer
characteristic curves obtained by setting wordline
(WL) to high, while both the bitlines are precharged
to a low voltage around ground. Generally, SNM
during read takes its lowest value and the cell is in its
weakest state.
The “butterfly” curves, shown in Fig. 6, are
formed by superimposing of both inverter VTCs
taken under read operation. Fig. 6 shows the
variation of SNM for two power supply voltages
during read operation, and degradation of the static
noise margin with reduction of power supply voltage.
The SNM during hold and read operation for two
different power supply voltages corresponding to an
SRAM
Fig. 5. “Butterfly” curves during Hold operation
Fig. 6. “Butterfly” curves during Read operation
cellwith cell ratio (r) of 2 are tabulated in Table I.
Cell ratio(r) is defined below:
𝐶𝑒𝑙𝑙𝑟𝑎𝑡𝑖𝑜 𝑟 =
𝛽 𝑑𝑟𝑖𝑣𝑒𝑟
𝛽 𝑎𝑐𝑐𝑒𝑠𝑠
(1)
Where, βdriver is the transconductance of the
storage transistors and βaccess is the transconductance
of the access transistors. M1 and M3 act as access
transistors and M2 and M4act as storage or driver
transistors in the proposed design.The SNM reduction
during read operations with respect to hold operations
is considerable at each supply voltage.
C. Impact of Power Supply Voltage Modulation on
Read SNM
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
600 | P a g e
Fig. 7 shows that the impact of power supply voltage
reduction on read SNM under various process
corners. It is clear that irrespective of the process
variations, SNM is reduced significantly with the
reduction of power supply voltage. Hence, it is more
preferable to maintain full VDDwhile reading the
memory.
IV. SIMULATION RESULTS
The above implemented proposed 5T SRAM
with cell ratio (r) of 2 was simulated along with
conventional 6T and novel 5T SRAM cells in 180 nm
CMOS process using Cadence Spectre and BSIM3v3
models.
SNM DURING HOLD AND READ OPERATIONS VERSUS
POWER SUPPLY VOLTAGE
Mode of
operation SNM@VDD(mV) SNM@2/3 VDD ((mV)
HOLD 600 480
READ 432 348
Fig. 7. Impact of Power Supply Voltage
Reduction on Read SNM
The layout of the proposed 5T SRAM cell is
shown in Fig.8, and all the parasitic capacitances,
which were extracted from the layouts, along with
some additional bitline capacitance approximately
100 fF are included during simulations. The
comparison of the proposed 5T SRAM to the
conventional 6T and novel 5T SRAMs are tabulated
in Table II and Table III respectively. It is observed
that the proposed 5T SRAM cell shows good stability
over both the conventional 6T and novel 5T SRAMs
with better performance and low power consumption.
Fig. 8.Layout of theProposed 5T SRAM Cell
TABLE I. PERFORMANCE COMPARISON BETWEEN
PROPOSED 5T
AND CONVENTIONAL 6T SRAM CELLS
Metric
Convention
al Proposed %Improvem
ent
6T SRAM
5T
SRAM
Read SNM 255 mV 432 mV 40.97
Write Delay 120 ps 101.41 ps 15.49
Read Delay
392.4
ps 303.46 ps 22.66
Power
Consumption 139.14 µW 117.1µW 15.84
TABLE II. PERFORMANCE COMPARISON BETWEEN
PROPOSED 5T
AND NOVEL 5T SRAM CELLS
Metric
Novel Proposed %Improveme
nt
5T SRAM5T SRAM
Read SNM
400
mV 432 mV 7.4
Write Delay 300 ps
101.41
ps 66.19
Read Delay
469
ps
303.46p
s 35.29
Power
Consumption
122.94
µW 117.1 µW 4.75
V. CONCLUSION
With the aim of attaining a high stability and
better performance SRAM, a five transistor (5T)
SRAM cell is designed and simulated using a 180 nm
CMOS process. The proposed cell exhibits 22.66%
better performance with respect to conventional 6T,
Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.596-601
601 | P a g e
and 35.29% improvement with respect to novel 5T
SRAM cell. Read static noise margin of the proposed
cell is 40.97% higher than the conventional 6T
SRAM cell with significant reduction in power
consumption. Simulated results, as seen from process
corner analysis, quite well justify the robustness of
the design even at worst case process variations.
Therefore, the proposed 5T SRAM cell design would
be suitable for various high speed and low power
embedded cache, stand-alone IC, and network
applications.
REFERENCES
[1] A. Agarwal, C. H. Kim, S. Mukhopadhyay,
and K. Roy, “Leakage in Nano-Scale
Technologies: Mechanisms, Impact and
Design Considerations,” Proc. of the 41st
Design Automation Conference (DAC04),
June 2004, pp. 6-11.
[2] S. Mukhopadhyay, H. Mahmoodi,and K.
Roy, “Modeling of Failure Probability and
Statistical Design of SRAM Array for Yield
Enhancement in Nanoscaled CMOS,” IEEE
Trans. on Computer- Aided Designof
Integrated Circuits and Systems, Vol. 24, no.
12, p.p 1859-1880,December 2005.
[3] Debasis Mukherjee, Hemanta Kr. Mondal,
and B.V.R. Reddy, “Static Noise Margin
Analysis of SRAM Cell for High Speed
Application,” IJCSI International Journal of
Computer Science Issues, Vol. 7, Issue5,
September 2010.
[4] Michael Wieckowski, Martin Margala, “A
novel five-transistor (5T) SRAM cell for
high performance cache,” Proc. of the IEEE
International SOCConference, Dec 2005,
pp.101-102.
[5] David A. Hodges, Horace G. Jackson, Resve
A. Saleh, Analysis anddesign of digital
integrated circuits: In Deep Submicron
Technology,McGraw-Hill Edition, 2004.,
vol. sc-22, no. 5, October 1987.

Contenu connexe

Tendances

IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Ieee Xpert
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 

Tendances (15)

IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
Df33642645
Df33642645Df33642645
Df33642645
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Low power sram
Low power sramLow power sram
Low power sram
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCHREALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
 
Mu2421122117
Mu2421122117Mu2421122117
Mu2421122117
 
Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design Low power variation-tolerant nonvolatile lookup table design
Low power variation-tolerant nonvolatile lookup table design
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 

En vedette

Prototyping is an attitude
Prototyping is an attitudePrototyping is an attitude
Prototyping is an attitudeWith Company
 
10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer ExperienceYuan Wang
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionIn a Rocket
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanPost Planner
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting PersonalKirsty Hulse
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldabaux singapore
 

En vedette (7)

Prototyping is an attitude
Prototyping is an attitudePrototyping is an attitude
Prototyping is an attitude
 
10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience10 Insightful Quotes On Designing A Better Customer Experience
10 Insightful Quotes On Designing A Better Customer Experience
 
Learn BEM: CSS Naming Convention
Learn BEM: CSS Naming ConventionLearn BEM: CSS Naming Convention
Learn BEM: CSS Naming Convention
 
How to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media PlanHow to Build a Dynamic Social Media Plan
How to Build a Dynamic Social Media Plan
 
SEO: Getting Personal
SEO: Getting PersonalSEO: Getting Personal
SEO: Getting Personal
 
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika AldabaLightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
Lightning Talk #9: How UX and Data Storytelling Can Shape Policy by Mika Aldaba
 
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job? Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
Succession “Losers”: What Happens to Executives Passed Over for the CEO Job?
 

Similaire à 5T SRAM Cell Design

SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 

Similaire à 5T SRAM Cell Design (20)

SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
C0211520
C0211520C0211520
C0211520
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 

Dernier

DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024BookNet Canada
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfRankYa
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embeddingZilliz
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr LapshynFwdays
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 

Dernier (20)

DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC CataList - Tech Forum 2024
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Search Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdfSearch Engine Optimization SEO PDF for 2024.pdf
Search Engine Optimization SEO PDF for 2024.pdf
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Training state-of-the-art general text embedding
Training state-of-the-art general text embeddingTraining state-of-the-art general text embedding
Training state-of-the-art general text embedding
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
"Federated learning: out of reach no matter how close",Oleksandr Lapshyn
 
Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 

5T SRAM Cell Design

  • 1. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 596 | P a g e A Proposed Design of Five Transistor CMOS SRAM Cell for High Speed Applications Bala Rama Pavithra[1] , Suresh Angadi[2] [1] ECE Department, K L University [2]Assistant Professor , ECE Department ,K L University Abstract Static random access memories (SRAMs) is made up of very large scale integrated (VLSI) circuits. A SRAM cell to operate in the deep sub- micron ranges it should meet some stringent requirements . This paper presents a new five transistor (5T) CMOS SRAM cell to accomplish improvements in stability, power dissipation, and performance over previous designs, for high speed and high stability memory operation. This circuit is simulated in a proprietary 180 nm CMOS process, using Cadence Spectre and BSIM3v3 models. Here we are comparing 5T SRAM cell with 6TSRAM cell and thus proving how 5T SRAM cells are more beneficial through various simulations. Keywords– CMOS, SRAM, VLSI, Static Noise Margin (SNM). I. INTRODUCTION Colossal advances in CMOS technology have made it possible to design chips with high integration density, better performance, and low power consumption. To attain these objectives, the feature size of the CMOS devices has faced aggressive scaling down to very small features and dimensions. However, the leakage current has increased immensely with technology scaling, and has become a major contributor to the total IC power [1]. In addition, as feature size of CMOS devices scales down, the random variations in process parameters have emerged as a major design challenge in circuit design [2]. These random variations of device parameters in nano-scale CMOS technologies include random variations in channel length, channel width, oxide thickness, threshold voltage, etc [2]. These random parameter variations result in significant variation in the characteristic of digital circuits. Modern microprocessors employ on-chip caches, which can effectively reduces the speed gap between the processor and main memory to boost system performance. These on-chip caches are usually implemented using arrays of SRAM cells. A six transistor (6T) SRAM cell, shown in Fig. 1, is conventionally used as the memory cell. However, the mismatch in the strength between transistors of 6T SRAM cell due to process variations can results in failure during read operation, i.e. flipping of the cell data while reading, especially at lower levels of VDD[3]. Therefore, conventionalSRAM cell shows poor stabilityat verysmallfeature size. In addition, as CMOS technology scales down, an increase in total leakage current of a chip is observed. Fig. 1. Conventional 6T SRAM Cell Moreover, the total leakage current of a chip is proportional to the number of transistors on the chip. Since the SRAM includeslarge number of transistors on a chip, the SRAM leakage has also become a more significant component of total chip leakage in scaled CMOS technology. Hence, stability during read operation and leakage current of SRAM cell are two most prominent parameters in designing of SRAM cell in nano-scale CMOS technologies. A novel 5T SRAM cell [4] has been previously proposed as an improvement to the standard six transistor (6T) SRAM cell model in various aspects. This 5T SRAM cell, as shown in Fig. 2, comprises two inverters, connected back-to- back and one additional transistor that is used to access the cell for read and write. Here both the bit- lines are precharged to VDD to retain the data during standby mode. However, the speed of a cell, which characterizes the performance of the cell, is still to be improved to reduce the speed gap further between processor and main memory. In response to these challenges in both conventional 6T and novel 5T SRAM cells, a new 5T SRAM cell has been proposed and its performance issues are discussed. The rest of the
  • 2. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 597 | P a g e paper is organized as follows.Section II discusses basic structure, write, and read operationsof the proposed 5T SRAM cell.Section III explores detailed static noise margin (SNM) analysis of the proposed cell under various modes.Section IV presents the simulation resultsperformed Fig. 2. Novel 5T SRAM Cell in a proprietary 180 nm CMOS process.On the basis of results analyzed, section V concludes the significance of the proposed cell in various high speed, high stability, and low power applications. II. PROPOSED 5T SRAM CELL Fig. 3 shows the proposed five transistor (5T) SRAM cell. In this cell, Inverter NMOS transistors (M1, M3) are directly connected to the bit lines, PMOS transistors (M2, M4) are connected to power supply voltage (VDD), and thereis an additional transistor M5 coupling the inverters. Unlike standard cell, no word line transistors are needed to provide access during the read and write cycles. In contrast to novel 5T cell, bit lines of the proposed cell are precharged to ground. A. Standby Mode Before discussing the operation of proposed SRAM cell, operations of the previously introduced novel 5T cell will be reviewed to clarify the difference between former and later.In the novel 5T cell, introduced earlier [4], when the cell is in a stand by cycle, M5 is turned off by keeping wordline(WL) at ground, the bitlines are precharged to VDD, and the data is preserved by the cross-coupled inverters.In the proposed 5T cell, as shown in Fig. 3, during stand by period (precharge stage) the wordline (WL) associated with M5 is set to low, which turns off M5, and bitlines are precharged to ground so that the data which was written during write operation is retained by the cross-coupled inverters. B. Write Operation The write operation is accomplished by effectively asserting the wordline (WL).Simultaneously, depending on Fig. 3. Proposed 5T SRAM Cell the state already stored in the cell, either write “0” or write “1” signalis activated to push one of the bitlines to approximately 2/3 VDD so that the contents of the cell will flip to reflect the bitline data. Consider the situations for the two possible write operations that can be performed on the cell: Write “0” Operation Assume that initially, i.e. before write “0” operation, the values of the Q and Q_b of the cell are at “1” and “0” respectively. In this stage, transistors M2 and M3 are in the triode region, and M1 and M4 are in cut-off. The operation of write “0” is accomplished by forcing BL_b to approximately 2/3VDD by turning on both the PMOS transistors associated with write “0” and EN signals. Now the source voltage of the NMOS transistor M3 is at approximately 2/3 VDD rather than “0”, and there is a charge transfer between input terminals of the inverters because of turn on transistor M5. Thus Q_b is getting charged towards VDD due to M3, which is conducting in the triode region. When the voltage at Q_b exceeds the threshold voltage of M1, the voltage
  • 3. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 598 | P a g e at Q starts discharging towards “0”. This initiates a regenerative effect between the two inverters [5]. Eventually, M2 turns off and the voltage at Q falls to “0” due to the pull-down action of M1. Simultaneously, M4turns on and the voltage at Q_brises to VDD due to the pull-up action of M4. When the cell finally flips to the new state, the wordline associated with M5 is returned to its low stand by level. Write “1” Operation Assume that initially, i.e. before write “1” operation, the values of the Q and Q_b of the cell are at “0” and “1” respectively. In this stage, transistors M1and M4 are in the triode region, and M2 and M3 are in cut-off. The operation of write “1” is accomplished by forcing BL to approximately 2/3 VDD by turning on both the PMOS transistors associated with write “1” and EN signals. Now the source voltage of the NMOS transistor M1 is at approximately 2/3 VDD rather than “0”, and there is a charge transfer between input terminals of the inverters because of turn on transistor M5. Thus Q is getting charged towards VDD due to M1, which is conducting in the triode region. When the voltage at Q exceeds the threshold voltage of M3, the voltage at Q_b starts discharging towards “0”. This initiates a regenerative effect between the two inverters [5]. Eventually, M4 turns off and the voltage at Q_b falls to “0” due to the pull-down action of M3. Simultaneously, M2 turns on and the voltage at Q rises to VDD due to the pull-up action of M2. Both write operations are clearly shown in Fig. 4. C. Read Operation The read operation is achieved simply by asserting the wordline (WL), which is associated with the additional transistorM5. Consider the situations for the two possible read operations that can be performed on the cell: Read “0” Operation Assume that a “0” is stored in the cell, which implies that Q and Q_b of the cell are at “0” and “1” respectively. Therefore, transistors M1 and M4 are in the triode region and M2 and M3are in cutoff. Initially, BL andBL_bare precharged toa low voltage around ground by a pair of column pull-down transistors as shown in Fig. 3. The wordline (WL), held low in the stand by state, is now raised to VDD which turns on additional transistor M5, which in turn creates a current path from the bitline to VDD through the cell. This results in the voltage at Q increases to a littleamount from ground and at the same time the voltage at Q_b decreases by a little amount from VDD. The voltage at Q is transferred immediately to BL due to M1, which is conducting in the triode region.Meanwhile, on the other side of the cell, the voltage on BL_b remains low since thecolumn pull down transistor dominates the transistor M3, which is conducting at the edge of the cut-off region. The difference between BL and BL_b is fed to a sense amplifier in a proper manner to generate a valid low output, which is then stored in a data output buffer. In contrast to conventional 6T SRAM cell, here the bitlines are fed to the sense amplifier in inverted fashion to read proper data from the cell. Upon successful completion of read cycle, the wordline (WL) is returned to its low stand by level and both the bitlines are precharged back to a value around ground. Read “1” Operation Assume that a “1” is stored in the cell, which impliesthat Q and Q_b of the cell are at “1” and “0” respectively. Therefore, transistors M2 and M3 are in the triode region and M1 and M4are in cutoff.Initially, BL andBL_bare prechargedtoa low voltage around ground by a pair of column pull- downtransistors asshown in Fig. 3. The word Fig. 4. Waveforms for writeand read operations of proposed 5T SRAM line (WL), held low in the stand by state, is raised to VDD which turns on additional transistor M5, which in turn creates a current path from the bitline to VDD through the cell. This results in the voltage at Q_b increases to little amount from ground, and at the same time the voltage at Q decreases by a little amount from VDD. The voltage at Q_b is transferred immediately to BL_b due to transistor M3, which is conducting in the triode region. Meanwhile, on the other side of the cell, the voltage on BL remains low since the column pull down transistor dominates the transistor M1, which is conducting at the edge of the cut-off region. As mentioned in read “0” operation, here also the difference between BL and BL_b is fed to a sense amplifier in a proper manner to generate a valid high output. The length of the additional transistor M5 is 3-4 times longer than the minimum length (Lmin), and all the transistor sizes have been properly designed so that the cell can preserve data during read operation. Both the read operations are clearly shown in Fig. 4.
  • 4. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 599 | P a g e III. STATIC NOISE MARGIN ANALYSIS OF PROPOSED FIVE TRANSISTOR SRAM CELL The stability and robustness of a proposed SRAM cell is usually evaluated by analyzing both its dynamic and static behavior during the write, read, and hold operations. Stability of the memory cell can be estimated from the static noise margin (SNM) analysis. SNM is defined as the minimum DC noise voltage needed to flip the cell state [6],and is used to quantify the stability of the SRAM cell using a static approach. A significant effort has been devoted to explore the impact of process variations using the SNM. Here about proposed 5T SRAM cell’s static stability during read and hold period has been presented, and the differences between SNM during hold and read modes are compared. The read mode is usually identified as the cell’s weakest mode. A. SNM During Hold Mode The SRAM cell immunity to static noise is measured in terms of SNM that quantifies the maximum amount of voltage noise that the cell can tolerate at the output nodes of the cross-coupled inverters without flipping the cell. The graphical method to determine the SNM uses the static voltage transfer characteristics (VTC) of the SRAM cell inverters. Fig. 5 superimposes the VTC of one inverter to the inverse VTC of the other inverter. The resulting two lobed graph is called a “butterfly” curve and is used to determine SNM. Its value is defined as the side length of the largest square that can be fitted inside the lobes of the “butterfly” curve [6]. Fig. 5 shows that the variation of the “butterfly” curves for two supply voltages (VDD, 2/3 VDD) during hold operation. It clearly shows that lowering the power supply voltage reduces the SNM. B. SNM During Read Mode Static noise margin is a key performance factor to estimate the ability of the cell that can preserve data during the read operation. SNM during read can be evaluated from voltage transfer characteristic curves obtained by setting wordline (WL) to high, while both the bitlines are precharged to a low voltage around ground. Generally, SNM during read takes its lowest value and the cell is in its weakest state. The “butterfly” curves, shown in Fig. 6, are formed by superimposing of both inverter VTCs taken under read operation. Fig. 6 shows the variation of SNM for two power supply voltages during read operation, and degradation of the static noise margin with reduction of power supply voltage. The SNM during hold and read operation for two different power supply voltages corresponding to an SRAM Fig. 5. “Butterfly” curves during Hold operation Fig. 6. “Butterfly” curves during Read operation cellwith cell ratio (r) of 2 are tabulated in Table I. Cell ratio(r) is defined below: 𝐶𝑒𝑙𝑙𝑟𝑎𝑡𝑖𝑜 𝑟 = 𝛽 𝑑𝑟𝑖𝑣𝑒𝑟 𝛽 𝑎𝑐𝑐𝑒𝑠𝑠 (1) Where, βdriver is the transconductance of the storage transistors and βaccess is the transconductance of the access transistors. M1 and M3 act as access transistors and M2 and M4act as storage or driver transistors in the proposed design.The SNM reduction during read operations with respect to hold operations is considerable at each supply voltage. C. Impact of Power Supply Voltage Modulation on Read SNM
  • 5. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 600 | P a g e Fig. 7 shows that the impact of power supply voltage reduction on read SNM under various process corners. It is clear that irrespective of the process variations, SNM is reduced significantly with the reduction of power supply voltage. Hence, it is more preferable to maintain full VDDwhile reading the memory. IV. SIMULATION RESULTS The above implemented proposed 5T SRAM with cell ratio (r) of 2 was simulated along with conventional 6T and novel 5T SRAM cells in 180 nm CMOS process using Cadence Spectre and BSIM3v3 models. SNM DURING HOLD AND READ OPERATIONS VERSUS POWER SUPPLY VOLTAGE Mode of operation SNM@VDD(mV) SNM@2/3 VDD ((mV) HOLD 600 480 READ 432 348 Fig. 7. Impact of Power Supply Voltage Reduction on Read SNM The layout of the proposed 5T SRAM cell is shown in Fig.8, and all the parasitic capacitances, which were extracted from the layouts, along with some additional bitline capacitance approximately 100 fF are included during simulations. The comparison of the proposed 5T SRAM to the conventional 6T and novel 5T SRAMs are tabulated in Table II and Table III respectively. It is observed that the proposed 5T SRAM cell shows good stability over both the conventional 6T and novel 5T SRAMs with better performance and low power consumption. Fig. 8.Layout of theProposed 5T SRAM Cell TABLE I. PERFORMANCE COMPARISON BETWEEN PROPOSED 5T AND CONVENTIONAL 6T SRAM CELLS Metric Convention al Proposed %Improvem ent 6T SRAM 5T SRAM Read SNM 255 mV 432 mV 40.97 Write Delay 120 ps 101.41 ps 15.49 Read Delay 392.4 ps 303.46 ps 22.66 Power Consumption 139.14 µW 117.1µW 15.84 TABLE II. PERFORMANCE COMPARISON BETWEEN PROPOSED 5T AND NOVEL 5T SRAM CELLS Metric Novel Proposed %Improveme nt 5T SRAM5T SRAM Read SNM 400 mV 432 mV 7.4 Write Delay 300 ps 101.41 ps 66.19 Read Delay 469 ps 303.46p s 35.29 Power Consumption 122.94 µW 117.1 µW 4.75 V. CONCLUSION With the aim of attaining a high stability and better performance SRAM, a five transistor (5T) SRAM cell is designed and simulated using a 180 nm CMOS process. The proposed cell exhibits 22.66% better performance with respect to conventional 6T,
  • 6. Bala Rama Pavithra, Suresh Angadi / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.596-601 601 | P a g e and 35.29% improvement with respect to novel 5T SRAM cell. Read static noise margin of the proposed cell is 40.97% higher than the conventional 6T SRAM cell with significant reduction in power consumption. Simulated results, as seen from process corner analysis, quite well justify the robustness of the design even at worst case process variations. Therefore, the proposed 5T SRAM cell design would be suitable for various high speed and low power embedded cache, stand-alone IC, and network applications. REFERENCES [1] A. Agarwal, C. H. Kim, S. Mukhopadhyay, and K. Roy, “Leakage in Nano-Scale Technologies: Mechanisms, Impact and Design Considerations,” Proc. of the 41st Design Automation Conference (DAC04), June 2004, pp. 6-11. [2] S. Mukhopadhyay, H. Mahmoodi,and K. Roy, “Modeling of Failure Probability and Statistical Design of SRAM Array for Yield Enhancement in Nanoscaled CMOS,” IEEE Trans. on Computer- Aided Designof Integrated Circuits and Systems, Vol. 24, no. 12, p.p 1859-1880,December 2005. [3] Debasis Mukherjee, Hemanta Kr. Mondal, and B.V.R. Reddy, “Static Noise Margin Analysis of SRAM Cell for High Speed Application,” IJCSI International Journal of Computer Science Issues, Vol. 7, Issue5, September 2010. [4] Michael Wieckowski, Martin Margala, “A novel five-transistor (5T) SRAM cell for high performance cache,” Proc. of the IEEE International SOCConference, Dec 2005, pp.101-102. [5] David A. Hodges, Horace G. Jackson, Resve A. Saleh, Analysis anddesign of digital integrated circuits: In Deep Submicron Technology,McGraw-Hill Edition, 2004., vol. sc-22, no. 5, October 1987.