SlideShare une entreprise Scribd logo
1  sur  4
Télécharger pour lire hors ligne
1|Page

NOTES: Verilog Part 1
1 CHAPTER 1:
 HDL converts Behavioural Description to RTL Description.
 Data Flow is decided by the designer.
 Logic Synthesis tool convert RTL description to Gate Level Netlist. (Gate level netlist is a
description of the circuit in terms of gate and connections between them. It ensures that the
circuit meets timing, area and power specifications)
 The layout is verified and then fabricated.

2 CHAPTER 2:
2.1 TWO DESIGN METHODOLOGIES



Top Down: The main module is divided into sub modules which are further
divided into leaf cells.
Bottom Up: Collect all the leaf cells available with us and form the higher
level modules, and reach the main module.
(WHAT IF THE BASIC MODULE IS NOT AVAILABLE WITH US?)

2.2 FOUR LEVELS OF ABSTRACTIONS






Behavioural/Algorithmic level: Highest level of abstraction. Module can be
designed on desired algorithm without concerning about hardware
implementation.
Dataflow Level: Module is designed by specifying the data flow.
Gate Level: Module is implemented in terms of logic gates and
interconnections. Similar to gate logic diagram.
Switch Level: Module can be implemented using switches, storage nodes
and interconnections between them. Lowest Level of Abstraction.

2.3 MODULES IN VERILOG ARE SIMILAR TO THE CLASSES IN C++
2.4 INSTANCE IN VERILOG ARE SIMILAR TO THE OBJECTS IN C++
2.5 STIMULUS AND DESIGN BLOCK.



Notes: Verilog Part-1

In the first style the stimulus block instantiates the design block and directly
drives the signals in the design block.
The second style instantiates both stimulus and design blocks in top level
dummy module. The stimulus block interacts with the design block only at
through the interface.

Prepared By: Jay Baxi
2|Page

2.6 STIMULUS BLOCK IS ALSO CALLED THE TEST BENCH.

3 CHAPTER 3:
3.1 VERBAL CONVENTIONS













Whitespaces: b, t, n are used for blank spaces, tabs and newlines.
(WHAT IS THE NEED FOR b, IF AN ORDINARY SPACE “ “ IS USED?)
Comments: //Single Line Comment
/* Multi Line
Comment */
Operators:
j= ~b;
//Unary Operator
j= b && c;
//Binary Operator
j= b? c : d
//Ternary Operator
Number Specification:
Sized
Unknown Impedance (x)
Un-sized
High Impedance(z)
Negative
Underscore and Question Mark
Strings: “a / b is a string”
There are 123 keywords
There are 22 system tasks and functions
There are 27 compiler directives
Escaped Identifiers: They begin with a backslash () and end with a white
space or a new line. All the characters between a backslash and a
whitespace are processed literally.

3.2 DATA TYPES:








Notes: Verilog Part-1

Value Set:
Value Level
Condition
0
Logic Zero, False Condition
1
Logic One, True Condition
X
Unknown Logic Value
Z
High Impedance, Floating State
If two signals of strong1 and weak0 contend, the result is resolved as
strong1.
If two signals of equal strengths contend, the result is X (unknown)
Nets: They represent the connection between the hardware. In the HDL, we
they are represented as wire.
They are one bit values by default, unless explicitly mentioned as vectors.
net is not a keyword. It is a class of data types such as wire, wand, wor, tri,
triand, trior, trireg.
Registers: They represent data storage elements. They retain values until
other value is retained on them.

Prepared By: Jay Baxi
3|Page














Unlike a net, a register does not need a driver.
These registers do not need a clock unlike the hardware registers.
They are used with keyword reg. And its default value is x.
Vectors: wire or reg can be declared as vectors, if bit width is not specified.
Operations can be performed on selected parts of the vectors.
Integers: it is a register data type, reg can be used as a general purpose
register but in processes like counting it is more convenient to declare them
as integer.
Real: real numbers are also a register data type and is declared using the
keyword real.
Time: Verilog simulation is done w.r.t. simulation time. A special time
register is used to save the simulation time.
The width of the time register data type is implementation-specific but is at
least 64 bits.
The system function $time is invoked to get the current simulation time.
Arrays: Arrays are data types to increase the size of register data type and
can be used to create multidimensional matrices.
Memories: In most cases when RAMs and ROMs are designed by digital
designers. For Verilog, memories are modelled as one dimensional array of
registers.
Each element of the array is known as the element or the word, which is of
more than one bits. And is addressed by a single array index.
A particular word in the memory is obtained by using the address as a
memory in the subscript.
Parameters: parameter allows constants to be defined in Verilog. They
cannot be used as variables.
Parameter values for each module instance can be overridden individually at
compile time.
Parameter size and type can also be defined.
Strings: Strings can be stored in the reg data type. The width must be large
enough to hold the string.
If the width is larger than the size of the string, it fills bits to the left of the
string with ZEROS.
If the width is smaller than the string, it truncates leftmost bits of the string.

3.3 SYSTEM TASKS






Notes: Verilog Part-1

$display: This is the main system task for displaying values of variables or
strings or expressions.
$monitor: This is used to monitor a signal when its value changes.
$monitoron enables monitoring whereas $monitoroff disables it.
$stop: The $stop task puts the simulation in an interactive mode. This is
mainly used for debugging. The designer can suspend the simulation and
examine the value of the signals.
$finish: This terminates the simulation.

Prepared By: Jay Baxi
4|Page

3.4 COMPILER DIRECTIVES




Notes: Verilog Part-1

`define: This is similar to #define construct in C. It defines text macros in
Verilog. The compiler substitutes the text of the macro whenever it
encounters <macro_name>
‘include: This is used to include header files or other Verilog source files
which contain global or commonly used definitions.

Prepared By: Jay Baxi

Contenu connexe

Tendances

Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDLanand hd
 
Analog to digital conversion
Analog to digital conversionAnalog to digital conversion
Analog to digital conversionEngr Ahmad Khan
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test BenchDr.YNM
 
Demodulation (communication engineering)
Demodulation (communication engineering)Demodulation (communication engineering)
Demodulation (communication engineering)Sadman-al-farabe Nirzor
 
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Madhumita Tamhane
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptDr.YNM
 
Basics of analog communication system
Basics of analog communication systemBasics of analog communication system
Basics of analog communication systemswatihalunde
 
Verilog data types -For beginners
Verilog data types -For beginnersVerilog data types -For beginners
Verilog data types -For beginnersDr.YNM
 
Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesE2MATRIX
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4Dr.YNM
 
Diversity Techniques in mobile communications
Diversity Techniques in mobile communicationsDiversity Techniques in mobile communications
Diversity Techniques in mobile communicationsDiwaker Pant
 

Tendances (20)

Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 
Analog to digital conversion
Analog to digital conversionAnalog to digital conversion
Analog to digital conversion
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
 
Equalization
EqualizationEqualization
Equalization
 
VHDL
VHDLVHDL
VHDL
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Demodulation (communication engineering)
Demodulation (communication engineering)Demodulation (communication engineering)
Demodulation (communication engineering)
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
Multiple access techniques for wireless communications
Multiple access techniques for wireless communicationsMultiple access techniques for wireless communications
Multiple access techniques for wireless communications
 
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
Convolution codes - Coding/Decoding Tree codes and Trellis codes for multiple...
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Basics of analog communication system
Basics of analog communication systemBasics of analog communication system
Basics of analog communication system
 
Switch level modeling
Switch level modelingSwitch level modeling
Switch level modeling
 
Verilog data types -For beginners
Verilog data types -For beginnersVerilog data types -For beginners
Verilog data types -For beginners
 
Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with Examples
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
Interfacing Stepper motor with 8051
Interfacing Stepper motor with 8051Interfacing Stepper motor with 8051
Interfacing Stepper motor with 8051
 
Multipliers in VLSI
Multipliers in VLSIMultipliers in VLSI
Multipliers in VLSI
 
Diversity Techniques in mobile communications
Diversity Techniques in mobile communicationsDiversity Techniques in mobile communications
Diversity Techniques in mobile communications
 
Verilog
VerilogVerilog
Verilog
 

En vedette

Radiation Hardening by Design
Radiation Hardening by DesignRadiation Hardening by Design
Radiation Hardening by DesignJay Baxi
 
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Jay Baxi
 
Notes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingNotes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingJay Baxi
 
Notes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsNotes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsJay Baxi
 
Seminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSSeminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSJay Baxi
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLJay Baxi
 
Web design and development cs506 handouts
Web design and development   cs506 handoutsWeb design and development   cs506 handouts
Web design and development cs506 handoutsSohaib Danish
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-IntroductionDr. Shivananda Koteshwar
 
Fundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseFundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseHammam
 
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...Hanumantha Raju
 
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...BMS Institute of Technology and Management
 
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...BMS Institute of Technology and Management
 
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Arunkumar Gowdru
 
Operating system concepts (notes)
Operating system concepts (notes)Operating system concepts (notes)
Operating system concepts (notes)Sohaib Danish
 
Embedded systems class notes
Embedded systems  class notes Embedded systems  class notes
Embedded systems class notes Dr.YNM
 

En vedette (16)

Radiation Hardening by Design
Radiation Hardening by DesignRadiation Hardening by Design
Radiation Hardening by Design
 
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
Notes: Verilog Part 2 - Modules and Ports - Structural Modeling (Gate-Level M...
 
Notes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural ModellingNotes: Verilog Part 4- Behavioural Modelling
Notes: Verilog Part 4- Behavioural Modelling
 
Notes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and FunctionsNotes: Verilog Part 5 - Tasks and Functions
Notes: Verilog Part 5 - Tasks and Functions
 
Seminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOSSeminar: Fabrication and Characteristics of CMOS
Seminar: Fabrication and Characteristics of CMOS
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDL
 
First Year Basic Electronics Notes VTU Syllabus 2014 Scheme
First Year Basic Electronics Notes VTU Syllabus 2014 SchemeFirst Year Basic Electronics Notes VTU Syllabus 2014 Scheme
First Year Basic Electronics Notes VTU Syllabus 2014 Scheme
 
Web design and development cs506 handouts
Web design and development   cs506 handoutsWeb design and development   cs506 handouts
Web design and development cs506 handouts
 
4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
 
Fundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - GodseFundamentals of HDL (first 4 chapters only) - Godse
Fundamentals of HDL (first 4 chapters only) - Godse
 
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
MOSFETs (10EC63) Notes for Electronics & Communication Engineering Students o...
 
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
Microelectronic Circuits Notes (10EC63) by Dr. M. C. Hanumantharaju of BMS In...
 
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
Microelectronic Circuits (10EC63) Notes for Visvesvaraya Technological Univer...
 
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
Embedded System Design Notes written by Arun Kumar G, Associate Professor, De...
 
Operating system concepts (notes)
Operating system concepts (notes)Operating system concepts (notes)
Operating system concepts (notes)
 
Embedded systems class notes
Embedded systems  class notes Embedded systems  class notes
Embedded systems class notes
 

Similaire à Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics

Java platform
Java platformJava platform
Java platformVisithan
 
06 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa1606 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa16John Todora
 
Verilog Final Probe'22.pptx
Verilog Final Probe'22.pptxVerilog Final Probe'22.pptx
Verilog Final Probe'22.pptxSyedAzim6
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdfFrangoCamila
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGIJCI JOURNAL
 
Symbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationSymbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationAkhil Kaushik
 
CSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxCSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxannettsparrow
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsRégis SANTONJA
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLE2MATRIX
 

Similaire à Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics (20)

Verilog
VerilogVerilog
Verilog
 
Java platform
Java platformJava platform
Java platform
 
DDUV.pdf
DDUV.pdfDDUV.pdf
DDUV.pdf
 
VHDL- data types
VHDL- data typesVHDL- data types
VHDL- data types
 
06 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa1606 chapter03 04_control_logix_tags_memory_structure_fa16
06 chapter03 04_control_logix_tags_memory_structure_fa16
 
Verilog Final Probe'22.pptx
Verilog Final Probe'22.pptxVerilog Final Probe'22.pptx
Verilog Final Probe'22.pptx
 
24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf24-02-18 Rejender pratap.pdf
24-02-18 Rejender pratap.pdf
 
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOGDOUBLE PRECISION FLOATING POINT CORE IN VERILOG
DOUBLE PRECISION FLOATING POINT CORE IN VERILOG
 
Chapter 01
Chapter 01Chapter 01
Chapter 01
 
Symbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code GenerationSymbol Table, Error Handler & Code Generation
Symbol Table, Error Handler & Code Generation
 
CSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docxCSCI 2121- Computer Organization and Assembly Language Labor.docx
CSCI 2121- Computer Organization and Assembly Language Labor.docx
 
7986-lect 7.pdf
7986-lect 7.pdf7986-lect 7.pdf
7986-lect 7.pdf
 
Cc module 3.pptx
Cc module 3.pptxCc module 3.pptx
Cc module 3.pptx
 
VLSI
VLSIVLSI
VLSI
 
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated CircuitsVerilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
Verilog Ams Used In Top Down Methodology For Wireless Integrated Circuits
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
VLSI
VLSIVLSI
VLSI
 
System Verilog Tutorial - VHDL
System Verilog Tutorial - VHDLSystem Verilog Tutorial - VHDL
System Verilog Tutorial - VHDL
 
Introduction to Microcontrollers
Introduction to MicrocontrollersIntroduction to Microcontrollers
Introduction to Microcontrollers
 
Unit 5
Unit 5Unit 5
Unit 5
 

Dernier

Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxPooja Bhuva
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...pradhanghanshyam7136
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptxMaritesTamaniVerdade
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxCeline George
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxmarlenawright1
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxAreebaZafar22
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfNirmal Dwivedi
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsKarakKing
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsTechSoup
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxJisc
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Association for Project Management
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxRamakrishna Reddy Bijjam
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsMebane Rash
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxJisc
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.MaryamAhmad92
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and ModificationsMJDuyan
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.pptRamjanShidvankar
 

Dernier (20)

Interdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptxInterdisciplinary_Insights_Data_Collection_Methods.pptx
Interdisciplinary_Insights_Data_Collection_Methods.pptx
 
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...Kodo Millet  PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
Kodo Millet PPT made by Ghanshyam bairwa college of Agriculture kumher bhara...
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
2024-NATIONAL-LEARNING-CAMP-AND-OTHER.pptx
 
How to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptxHow to setup Pycharm environment for Odoo 17.pptx
How to setup Pycharm environment for Odoo 17.pptx
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptxHMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
HMCS Vancouver Pre-Deployment Brief - May 2024 (Web Version).pptx
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Spatium Project Simulation student brief
Spatium Project Simulation student briefSpatium Project Simulation student brief
Spatium Project Simulation student brief
 
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdfUGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
UGC NET Paper 1 Mathematical Reasoning & Aptitude.pdf
 
Salient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functionsSalient Features of India constitution especially power and functions
Salient Features of India constitution especially power and functions
 
Introduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The BasicsIntroduction to Nonprofit Accounting: The Basics
Introduction to Nonprofit Accounting: The Basics
 
Towards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptxTowards a code of practice for AI in AT.pptx
Towards a code of practice for AI in AT.pptx
 
Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...Making communications land - Are they received and understood as intended? we...
Making communications land - Are they received and understood as intended? we...
 
Python Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docxPython Notes for mca i year students osmania university.docx
Python Notes for mca i year students osmania university.docx
 
On National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan FellowsOn National Teacher Day, meet the 2024-25 Kenan Fellows
On National Teacher Day, meet the 2024-25 Kenan Fellows
 
Wellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptxWellbeing inclusion and digital dystopias.pptx
Wellbeing inclusion and digital dystopias.pptx
 
ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.ICT role in 21st century education and it's challenges.
ICT role in 21st century education and it's challenges.
 
Understanding Accommodations and Modifications
Understanding  Accommodations and ModificationsUnderstanding  Accommodations and Modifications
Understanding Accommodations and Modifications
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 

Notes: Verilog Part 1 - Overview - Hierarchical Modeling Concepts - Basics

  • 1. 1|Page NOTES: Verilog Part 1 1 CHAPTER 1:  HDL converts Behavioural Description to RTL Description.  Data Flow is decided by the designer.  Logic Synthesis tool convert RTL description to Gate Level Netlist. (Gate level netlist is a description of the circuit in terms of gate and connections between them. It ensures that the circuit meets timing, area and power specifications)  The layout is verified and then fabricated. 2 CHAPTER 2: 2.1 TWO DESIGN METHODOLOGIES   Top Down: The main module is divided into sub modules which are further divided into leaf cells. Bottom Up: Collect all the leaf cells available with us and form the higher level modules, and reach the main module. (WHAT IF THE BASIC MODULE IS NOT AVAILABLE WITH US?) 2.2 FOUR LEVELS OF ABSTRACTIONS     Behavioural/Algorithmic level: Highest level of abstraction. Module can be designed on desired algorithm without concerning about hardware implementation. Dataflow Level: Module is designed by specifying the data flow. Gate Level: Module is implemented in terms of logic gates and interconnections. Similar to gate logic diagram. Switch Level: Module can be implemented using switches, storage nodes and interconnections between them. Lowest Level of Abstraction. 2.3 MODULES IN VERILOG ARE SIMILAR TO THE CLASSES IN C++ 2.4 INSTANCE IN VERILOG ARE SIMILAR TO THE OBJECTS IN C++ 2.5 STIMULUS AND DESIGN BLOCK.   Notes: Verilog Part-1 In the first style the stimulus block instantiates the design block and directly drives the signals in the design block. The second style instantiates both stimulus and design blocks in top level dummy module. The stimulus block interacts with the design block only at through the interface. Prepared By: Jay Baxi
  • 2. 2|Page 2.6 STIMULUS BLOCK IS ALSO CALLED THE TEST BENCH. 3 CHAPTER 3: 3.1 VERBAL CONVENTIONS          Whitespaces: b, t, n are used for blank spaces, tabs and newlines. (WHAT IS THE NEED FOR b, IF AN ORDINARY SPACE “ “ IS USED?) Comments: //Single Line Comment /* Multi Line Comment */ Operators: j= ~b; //Unary Operator j= b && c; //Binary Operator j= b? c : d //Ternary Operator Number Specification: Sized Unknown Impedance (x) Un-sized High Impedance(z) Negative Underscore and Question Mark Strings: “a / b is a string” There are 123 keywords There are 22 system tasks and functions There are 27 compiler directives Escaped Identifiers: They begin with a backslash () and end with a white space or a new line. All the characters between a backslash and a whitespace are processed literally. 3.2 DATA TYPES:      Notes: Verilog Part-1 Value Set: Value Level Condition 0 Logic Zero, False Condition 1 Logic One, True Condition X Unknown Logic Value Z High Impedance, Floating State If two signals of strong1 and weak0 contend, the result is resolved as strong1. If two signals of equal strengths contend, the result is X (unknown) Nets: They represent the connection between the hardware. In the HDL, we they are represented as wire. They are one bit values by default, unless explicitly mentioned as vectors. net is not a keyword. It is a class of data types such as wire, wand, wor, tri, triand, trior, trireg. Registers: They represent data storage elements. They retain values until other value is retained on them. Prepared By: Jay Baxi
  • 3. 3|Page         Unlike a net, a register does not need a driver. These registers do not need a clock unlike the hardware registers. They are used with keyword reg. And its default value is x. Vectors: wire or reg can be declared as vectors, if bit width is not specified. Operations can be performed on selected parts of the vectors. Integers: it is a register data type, reg can be used as a general purpose register but in processes like counting it is more convenient to declare them as integer. Real: real numbers are also a register data type and is declared using the keyword real. Time: Verilog simulation is done w.r.t. simulation time. A special time register is used to save the simulation time. The width of the time register data type is implementation-specific but is at least 64 bits. The system function $time is invoked to get the current simulation time. Arrays: Arrays are data types to increase the size of register data type and can be used to create multidimensional matrices. Memories: In most cases when RAMs and ROMs are designed by digital designers. For Verilog, memories are modelled as one dimensional array of registers. Each element of the array is known as the element or the word, which is of more than one bits. And is addressed by a single array index. A particular word in the memory is obtained by using the address as a memory in the subscript. Parameters: parameter allows constants to be defined in Verilog. They cannot be used as variables. Parameter values for each module instance can be overridden individually at compile time. Parameter size and type can also be defined. Strings: Strings can be stored in the reg data type. The width must be large enough to hold the string. If the width is larger than the size of the string, it fills bits to the left of the string with ZEROS. If the width is smaller than the string, it truncates leftmost bits of the string. 3.3 SYSTEM TASKS     Notes: Verilog Part-1 $display: This is the main system task for displaying values of variables or strings or expressions. $monitor: This is used to monitor a signal when its value changes. $monitoron enables monitoring whereas $monitoroff disables it. $stop: The $stop task puts the simulation in an interactive mode. This is mainly used for debugging. The designer can suspend the simulation and examine the value of the signals. $finish: This terminates the simulation. Prepared By: Jay Baxi
  • 4. 4|Page 3.4 COMPILER DIRECTIVES   Notes: Verilog Part-1 `define: This is similar to #define construct in C. It defines text macros in Verilog. The compiler substitutes the text of the macro whenever it encounters <macro_name> ‘include: This is used to include header files or other Verilog source files which contain global or commonly used definitions. Prepared By: Jay Baxi