SlideShare une entreprise Scribd logo
1  sur  21
Télécharger pour lire hors ligne
Kumar Gavanurmath
Design For Testability
Agenda
 Importance of Testing.
 What is Testability.
 What is DFT? Why?
 DFT Technique.
 Manufacturing Defects.
 Fault Modelling.
 Methods To Test Transition Fault.
 Fault Equivalence / collapsing.
 Structured Approach.
Importance of Testing
§ According to Moore’s law feature size is decreasing.
 defects are unavoidable.
§ Testing is required to guarantee fault-free chips.
§ Product quality depends on the following parameters,
ü Test cost
ü Test quality
ü Test time
§ DFT – “The game changer”
What is Testability?
 The ability to put a design into a known initial state, and then control
and observe internal signal values.
 Circuit without DFFs: Circuit is controllable and observable.
 Circuit with DFFs: Low testability.
 Two basic properties determine the testability of a node:
 Controllability
 The ability to set node to a specific value.
 Observability
 The ability to observe a node’s value.
What is DFT?
 DFT refers to hardware design styles, or added hardware that reduces
test generation complexity.
 Philosophy of DFT is Murphy's law:- ”whatever can go wrong, will go
wrong.”
 Motivation:- : Test generation complexity increases exponentially with
the size of the circuit.
 Basically DFT enables the manufacturing test.
 It is a structural technique, which facilitates a design to become testable
after production .
Why DFT
 To increase Productivity:
Shorter time-to-market
Reduced design cycle
Reduced cost
 To improve Quality:
Reduced Defects per million (DPM)
Improved quality of test
DFT Technique
 Ad-hoc Technique.
Ø As name implies Ad-hoc Technique is a temporary Technique.
Ø Is a strategy to enhance the design testability without making much change
to design style.
Ø Good design practices learnt through experience are used as guidelines for
ad-hoc DFT.
 Structural Technique.
Ø Here it provides more systematic & automatic approach to enhance the
design testability.
Ø Targets manufacturing defects.
Manufacturing Defects
 Physical problem in silicon:
q Extra metal-causing shorts.
q Insufficient Doping.
q Contamination causing opens.
q CMOS Stuck-ON.
q CMOS Stuck-OPEN.
q Slow Transistors.
Cont...
 Defect: The term defect generally refers to a physical imperfection in
the processed wafer.
 Some defects are observable through the optical or electron
microscope. Others are not visible and can only be detected by
electrical tests.
 Fault: A representation of a “defect” at the abstracted function level is
called a fault.
 Error: A wrong output signal produced by a defective system is called
an error.
 Failure: Repeated occurrence of the same defect indicates the need for
improvements in the manufacturing process or the design of the device.
Procedures for diagnosing defects and finding their causes are known
as failure mode analyses (FMA).
Fault Modelling
 Due to defect during manufacturing of integrated circuit, There is need to
model the possible faults that might occur during fabrication process, this is
called fault modelling.
v Stuck-at-fault.
v Transition fault.
§ Stuck-at-fault: From the beginning of the DFT single stuck-at fault model is
the most popular fault model used in practice. This is mainly due to process
variations, imperfection during photolithography and etching, the metal.
B
Y
A
Stuck-at-fault example
§ Fault activation
§ Fault propagation
§ Line justification
i
e
D
X
g
j
f
h
D
D
a
b=1
c
d
S-a-0
Figure Sensitization by b=1, Propagation path by e-f-g-h
D Algorithm
 Target a specific stuck-at-fault.
 Drive fault site to to opposite value.
 Propagate error to primary outputs.
 Generate patterns for one Stuck-at-fault at a time.
 Involve decision-making at almost every step.
Transition Fault Model
§ The transition fault is similar to the stuck-at fault in many respects.
§ The effect of a transition fault at any point P in a circuit is that a rising or a
falling transition at P will not reach an observable output such as a scan
flip-flop or a primary output within the desired time.
A
B
C
Methods To Test Transition Fault
 Delay tests require a vector pair to detect a fault.
 Since the patterns must be applied at the rated speed, at-speed
testing is needed.
 For full scan circuits, both the vectors in the scan flip-flops must be
ready for consecutive time frames to ensure atspeed testing.
 Several different methods are used to apply the vectors at-speed.
Transition faults are detected through scan chain. There are two
methods to detect transition faults.
 Skew Load or Launch-From-Shift (LOC).
 Skew Load or Launch-From-Shift (LOS).
Cont...
 LOC  LOS
Fault Equivalence / collapsing
 The gates behaves the same for any input
SA0 ,SA1 or the output SA0 ,SA1 .
 The fault after collapsing are included in the
fault universe called primary faults, the faults
after collapsing are not included in the fault
universe are called Equivalent fault of primary
faults.
 Fault Coverage = # of Detectable faults
Total no of faults
 Test Coverage = # of Detectable faults
# of Detected faults.
Structured Approach
 The structured DFT approach tries to boost the overall testability of a
circuit with a test oriented style methodology.
 This approach is organized and systematic with far more inevitable results.
Scan style, the most widely used structured DFT methodology, tries to
boost testability of a circuit by rising the controllability and observability of
storage elements in an exceedingly sequential style.
 Typically, this is often accomplished by converting the sequential design
into a scan design with 3 modes of operation they are,
 normal mode.
 shift mode.
 capture mode.
 Circuit operations with associated clock cycles conducted in these 3 modes
are referred to as normal operation, shift operation, and capture operation,
respectively.
Scan Cell Designs
 There are three types of scan cell designs, they are:
 Muxed-D scan cell.
 Clocked scan cell.
 LSSD scan cell.
 Muxed-D Scan cell:
Need for Scan Design
 The need for Scan design in a sequential design can be well understood by
considering a example shown in Figure below.
Cont...
 These scan chains are made externally accessible by connecting the scan
input of the first scan cell in a scan chain to a primary input and the output
of the last scan cell in a Scan chain to a primary output.
•
Scan design is currently the most popular structured DFT approach. It is
implemented by Connecting selected storage elements present in the
design into multiple shift registers, called Scan chains
Thank You

Contenu connexe

Tendances

Tendances (20)

BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
 
Design for Testability
Design for TestabilityDesign for Testability
Design for Testability
 
Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
dft
dftdft
dft
 
ATPG flow chart
ATPG flow chart ATPG flow chart
ATPG flow chart
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Stick digram by Euler Approach
Stick digram by Euler ApproachStick digram by Euler Approach
Stick digram by Euler Approach
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Verilog HDL
Verilog HDLVerilog HDL
Verilog HDL
 

Similaire à Design for Testability

Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
DVClub
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
IJERA Editor
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
IJERA Editor
 

Similaire à Design for Testability (20)

1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
C044061518
C044061518C044061518
C044061518
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
design and testability.pptx
design and testability.pptxdesign and testability.pptx
design and testability.pptx
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
 
Abraham q3 2008
Abraham q3 2008Abraham q3 2008
Abraham q3 2008
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
H010613642
H010613642H010613642
H010613642
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Testing of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven StrategiesTesting of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven Strategies
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
Incremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software EngineeringIncremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software Engineering
 
Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...
 
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-FlopIRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
 
VLSI Presentation SBT.pptx
VLSI Presentation SBT.pptxVLSI Presentation SBT.pptx
VLSI Presentation SBT.pptx
 

Dernier

Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
chumtiyababu
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
AldoGarca30
 

Dernier (20)

Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
Call Girls in South Ex (delhi) call me [🔝9953056974🔝] escort service 24X7
 
Computer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to ComputersComputer Lecture 01.pptxIntroduction to Computers
Computer Lecture 01.pptxIntroduction to Computers
 
Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
Wadi Rum luxhotel lodge Analysis case study.pptx
Wadi Rum luxhotel lodge Analysis case study.pptxWadi Rum luxhotel lodge Analysis case study.pptx
Wadi Rum luxhotel lodge Analysis case study.pptx
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Online electricity billing project report..pdf
Online electricity billing project report..pdfOnline electricity billing project report..pdf
Online electricity billing project report..pdf
 
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
Unit 4_Part 1 CSE2001 Exception Handling and Function Template and Class Temp...
 
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best ServiceTamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
Tamil Call Girls Bhayandar WhatsApp +91-9930687706, Best Service
 
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
NO1 Top No1 Amil Baba In Azad Kashmir, Kashmir Black Magic Specialist Expert ...
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 
DC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equationDC MACHINE-Motoring and generation, Armature circuit equation
DC MACHINE-Motoring and generation, Armature circuit equation
 
Verification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptxVerification of thevenin's theorem for BEEE Lab (1).pptx
Verification of thevenin's theorem for BEEE Lab (1).pptx
 
Employee leave management system project.
Employee leave management system project.Employee leave management system project.
Employee leave management system project.
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
 
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
COST-EFFETIVE  and Energy Efficient BUILDINGS ptxCOST-EFFETIVE  and Energy Efficient BUILDINGS ptx
COST-EFFETIVE and Energy Efficient BUILDINGS ptx
 
Work-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptxWork-Permit-Receiver-in-Saudi-Aramco.pptx
Work-Permit-Receiver-in-Saudi-Aramco.pptx
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 

Design for Testability

  • 2. Agenda  Importance of Testing.  What is Testability.  What is DFT? Why?  DFT Technique.  Manufacturing Defects.  Fault Modelling.  Methods To Test Transition Fault.  Fault Equivalence / collapsing.  Structured Approach.
  • 3. Importance of Testing § According to Moore’s law feature size is decreasing.  defects are unavoidable. § Testing is required to guarantee fault-free chips. § Product quality depends on the following parameters, ü Test cost ü Test quality ü Test time § DFT – “The game changer”
  • 4. What is Testability?  The ability to put a design into a known initial state, and then control and observe internal signal values.  Circuit without DFFs: Circuit is controllable and observable.  Circuit with DFFs: Low testability.  Two basic properties determine the testability of a node:  Controllability  The ability to set node to a specific value.  Observability  The ability to observe a node’s value.
  • 5. What is DFT?  DFT refers to hardware design styles, or added hardware that reduces test generation complexity.  Philosophy of DFT is Murphy's law:- ”whatever can go wrong, will go wrong.”  Motivation:- : Test generation complexity increases exponentially with the size of the circuit.  Basically DFT enables the manufacturing test.  It is a structural technique, which facilitates a design to become testable after production .
  • 6. Why DFT  To increase Productivity: Shorter time-to-market Reduced design cycle Reduced cost  To improve Quality: Reduced Defects per million (DPM) Improved quality of test
  • 7. DFT Technique  Ad-hoc Technique. Ø As name implies Ad-hoc Technique is a temporary Technique. Ø Is a strategy to enhance the design testability without making much change to design style. Ø Good design practices learnt through experience are used as guidelines for ad-hoc DFT.  Structural Technique. Ø Here it provides more systematic & automatic approach to enhance the design testability. Ø Targets manufacturing defects.
  • 8. Manufacturing Defects  Physical problem in silicon: q Extra metal-causing shorts. q Insufficient Doping. q Contamination causing opens. q CMOS Stuck-ON. q CMOS Stuck-OPEN. q Slow Transistors.
  • 9. Cont...  Defect: The term defect generally refers to a physical imperfection in the processed wafer.  Some defects are observable through the optical or electron microscope. Others are not visible and can only be detected by electrical tests.  Fault: A representation of a “defect” at the abstracted function level is called a fault.  Error: A wrong output signal produced by a defective system is called an error.  Failure: Repeated occurrence of the same defect indicates the need for improvements in the manufacturing process or the design of the device. Procedures for diagnosing defects and finding their causes are known as failure mode analyses (FMA).
  • 10. Fault Modelling  Due to defect during manufacturing of integrated circuit, There is need to model the possible faults that might occur during fabrication process, this is called fault modelling. v Stuck-at-fault. v Transition fault. § Stuck-at-fault: From the beginning of the DFT single stuck-at fault model is the most popular fault model used in practice. This is mainly due to process variations, imperfection during photolithography and etching, the metal. B Y A
  • 11. Stuck-at-fault example § Fault activation § Fault propagation § Line justification i e D X g j f h D D a b=1 c d S-a-0 Figure Sensitization by b=1, Propagation path by e-f-g-h
  • 12. D Algorithm  Target a specific stuck-at-fault.  Drive fault site to to opposite value.  Propagate error to primary outputs.  Generate patterns for one Stuck-at-fault at a time.  Involve decision-making at almost every step.
  • 13. Transition Fault Model § The transition fault is similar to the stuck-at fault in many respects. § The effect of a transition fault at any point P in a circuit is that a rising or a falling transition at P will not reach an observable output such as a scan flip-flop or a primary output within the desired time. A B C
  • 14. Methods To Test Transition Fault  Delay tests require a vector pair to detect a fault.  Since the patterns must be applied at the rated speed, at-speed testing is needed.  For full scan circuits, both the vectors in the scan flip-flops must be ready for consecutive time frames to ensure atspeed testing.  Several different methods are used to apply the vectors at-speed. Transition faults are detected through scan chain. There are two methods to detect transition faults.  Skew Load or Launch-From-Shift (LOC).  Skew Load or Launch-From-Shift (LOS).
  • 16. Fault Equivalence / collapsing  The gates behaves the same for any input SA0 ,SA1 or the output SA0 ,SA1 .  The fault after collapsing are included in the fault universe called primary faults, the faults after collapsing are not included in the fault universe are called Equivalent fault of primary faults.  Fault Coverage = # of Detectable faults Total no of faults  Test Coverage = # of Detectable faults # of Detected faults.
  • 17. Structured Approach  The structured DFT approach tries to boost the overall testability of a circuit with a test oriented style methodology.  This approach is organized and systematic with far more inevitable results. Scan style, the most widely used structured DFT methodology, tries to boost testability of a circuit by rising the controllability and observability of storage elements in an exceedingly sequential style.  Typically, this is often accomplished by converting the sequential design into a scan design with 3 modes of operation they are,  normal mode.  shift mode.  capture mode.  Circuit operations with associated clock cycles conducted in these 3 modes are referred to as normal operation, shift operation, and capture operation, respectively.
  • 18. Scan Cell Designs  There are three types of scan cell designs, they are:  Muxed-D scan cell.  Clocked scan cell.  LSSD scan cell.  Muxed-D Scan cell:
  • 19. Need for Scan Design  The need for Scan design in a sequential design can be well understood by considering a example shown in Figure below.
  • 20. Cont...  These scan chains are made externally accessible by connecting the scan input of the first scan cell in a scan chain to a primary input and the output of the last scan cell in a Scan chain to a primary output. • Scan design is currently the most popular structured DFT approach. It is implemented by Connecting selected storage elements present in the design into multiple shift registers, called Scan chains