SlideShare une entreprise Scribd logo
1  sur  39
Télécharger pour lire hors ligne
流行の(?) RISC-V で
遊んでみた話
わさらぼ
みよし たけふみ
2019.7.29
SDR研究会
流行の(?) RISC-V で
遊んでみた話
わさらぼ
みよし たけふみ
2019.7.29
SDR研究会
みようとしてる
この発表の目的
• RISC-Vが流行っているようにみえる
• SDR的に、どんな付き合い方がありそうか
https://monoist.atmarkit.co.jp/mn/articles/1812/07/news056.html
https://www.eetimes.com/document.asp?doc_id=1334966#
RISC-V関連のあれこれ(の例)
• SiFive
• Google – TPU
• Western Digital – SWeRV + OmniXtend
• NVIDIA – RISC-V + NVDLA
• Alibaba – Xuantie 910 (12-cores, 2.5GHz, 12-stage pipeline)
• Sipeed – RISC-V + KPU
RISC-Vとは
• オープンなISAのプロセッサ
• たくさんの実装
- https://riscv.org/risc-v-cores
• ソフトウェアエコシステム
- https://riscv.org/software-status/
コンパイラ・エミュレータ・デバッガ など
RISC-Vで遊んでみる – 開発環境の準備
• コンパイラ・ツールチェイン
https://github.com/riscv/riscv-gnu-toolchain
• Qemu
https://wiki.qemu.org/Documentation/Platforms/RISCV
$ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain
$ ./cnfigure --prefix=$HOME/tools/riscv
$ make
$ make linux
$ wget https://download.qemu.org/qemu-3.1.0.tar.xz
$ tar xvf qemu-3.1.0.tar.xz
$ cd qemu-3.1.0
$ ./configure --target-list=riscv64-softmmu
$ make -j8
$ make install
RISC-Vで遊んでみる – エミュレーション
Linux(Fedora)をQemu上で動かす
$ wget https://fedorapeople.org/groups/risc-v/disk-images/bbl
$ wget https://fedorapeople.org/groups/risc-v/disk-images/vmlinux
$ wget https://fedorapeople.org/groups/risc-v/disk-images/stage4-disk.img.xz
$ xzdec -d stage4-disk.img.xz > stage4-disk.img
$ qemu-system-riscv64 ¥
-nographic -machine virt -smp 4 -m 2G -kernel bbl ¥
-object rng-random,filename=/dev/urandom,id=rng0 ¥
-device virtio-rng-device,rng=rng0 ¥
-append "console=ttyS0 ro root=/dev/vda" ¥
-device virtio-blk-device,drive=hd0 ¥
-drive file=stage4-disk.img,format=raw,id=hd0 ¥
-device virtio-net-device,netdev=usernet ¥
-netdev user,id=usernet,hostfwd=tcp::10000-:22
https://wiki.qemu.org/Documentation/Platforms/RISCV
http://msyksphinz.hatenablog.com/entry/2018/05/07/040000
RISC-Vで遊んでみる – エミュレーション
Linux(Fedora)をQemu上で動かす
RISC-Vとは
• オープンなISAのプロセッサ
• たくさんの実装
- https://riscv.org/risc-v-cores
• ソフトウェアエコシステム
- https://riscv.org/software-status/
コンパイラ・エミュレータ・デバッガ など
オープンなISA
オープンではないISA (例: Intel x86, ARM, ...)
• 命令セットアーキテクチャ
• バスプロトコル・アーキテクチャ
オープンなISA
RISC-Vの場合
The RISC-V ISA is free and open with a permissive license for use by
anyone in all types of implementations. Designers are free to develop
proprietary or open source implementations for commercial or other
exploitations as they see fit. The RISC-V Foundation encourages all
implementations that are compliant to the specifications.
RISC-Vの命令 https://riscv.org/specifications/
RISC-Vの命令 https://riscv.org/specifications/
• RV32I – Base Integer Instruction Set
• RV32E – Reduced version of RV32I
• RV64I – supported user address space to 64bits
• RV128I – a flat 128-bit address space
RISC-Vの命令 https://riscv.org/specifications/
• “M” – Integer Multiplication and Division
• “A” – Atomic Instruction
• “F” – Single-Precision Floating-Point
• “D” – Double-Precision Floating-Point
• “Q” – Quad-Precision Floating-Point
RISC-Vの命令 https://riscv.org/specifications/
• “RVWMO” – Weak Memory Ordering
• “Zifencei” – Instruction-Fetch Fence
• “Zicsr” – Control and Status Register Instructions
• “Counters” – up to 32x64-bit performance counters and timers
• “Zam” – Misaligned Atomics
• “Ztso” – Total Store Ordering
RISC-Vの命令 https://riscv.org/specifications/
• “L” – Decimal Floating-Point
• “C” – Compressed Instructions
• “B” – Bit Manipulation
• “J” – Dynamically Translated Languages
• “T” – Transactional Memory
• “P” – Packed SIMD Instructions
• “V” – Vector Operations
• “N” – User-level Interrupts
RISC-Vの命令 https://riscv.org/specifications/
• “Sdef” – Supervisor-level Instruction-Set Extensions
• “Hghi” – Hypervisor-level Instruction-Set Extensions
• “Zxmjkl” – Machine-level Instruction-Set Extensions
• “Xmno” – Non-standard Extensions
RISC-Vの命令 https://riscv.org/specifications/
• RV32G – RV32I + IMAFD, Zicsr, Zifencei
• RV64G – RV64I + IMAFD, Zicsr, Zifencei
RISC-Vの命令 https://riscv.org/specifications/
命令フォーマット
RISC-Vの命令 https://riscv.org/specifications/
CSRs and timers
RISC-Vの命令 https://riscv.org/specifications/
Register Usage
RISC-Vの命令 https://riscv.org/specifications/
16-bit単位の可変長命令フォーマットのサポート
RISC-Vのペリフェラル
RISC-Vで遊んでみる – エミュレーション
命令レベルシミュレーション - spike
$ git clone https://github.com/riscv/riscv-tools
$ git submodule update --init --recursive
$ export RISCV=$HOME/tools/riscv
$ ./build.sh
https://github.com/riscv/riscv-tools
$ cat > hello.c
#include <stdio.h>
int main(int argc, char **argv)
{
printf("Hello RISC-V¥n");
}
$ riscv64-unknown-elf-gcc -o hello hello.c
$ spike pk test
RISC-Vで遊んでみる – FPGA
• Zedboard, Zybo, Arty, Terasic DEx, EPC5, ICE40あたりが人気?
• 大きいコアはKC705やVC707をターゲットにしたものも
• AWS-F1で動かすことができるFireSim
RISC-Vで遊んでみる – FPGA
SiFiveのRISC-V実装 – https://github.com/sifive/freedom
https://japan.xilinx.com/products/boards-and-kits/arty.html#hardware
sys
uart0,1
core
frontend
dcache
$ make -f Makefile.e300artydevkit mcs
RISC-Vで遊んでみる – FPGA
• 環境作成インスタンス(t2.nano)で実行環境を作成
• 実行環境=管理ノード+F1インスタンスノード
• 管理ノード(c4.4xlarge)でプロジェクト生成
• ソースコード・プロジェクトの管理
• Vivadoによる合成・配置配線
• F1インスタンスノード(f1.2xlarge など)で実行
• 管理ノードからデプロイ
FireSimの使い方 – https://docs.fires.im/
RISC-Vで遊んでみる – FPGA
RISC-V環境にログインしたところ
RISC-Vで遊んでみる – FPGA
FireSimでNVDLAも試すことができる – https://github.com/CSL-KU/firesim-nvdla
• NVIDIAのDLアクセラレータ
RISC-Vで遊んでみる – FPGA
FireSimでNVDLAも試すことができる – https://github.com/CSL-KU/firesim-nvdla
参考:FireSimのCPUのみでyolov3-tinyで
推論すると約16秒だった
RISC-Vで遊んでみる – 実チップ
SiPEED MAiX GO
RISC-Vで遊んでみる – 実チップ
SiPEED MAiX GO
• RISC-V Dual-core 400MHz
• 64bit
• FPU
• KPU
• NW 5.5-5.9MiB
• SRAM 6MB
• FPIO
• 300mA @5V
RISC-Vと開発環境
• Chisel
• SpinalHDL
• Bluespec
• PyMTL
• VHDL
• Verilog
• SystemVerilog
https://riscv.org/risc-v-cores/ に掲載されているもの のみ
Chisel
import chisel3._
class Top(in0Bits: Int, in1Bits: Int) extends Module {
val io = IO(new Bundle {
val in0 = Input(UInt(in0Bits.W))
val in1 = Input(UInt(in0Bits.W))
val out = Output(UInt((in0Bits+1).W))
})
io.out := io.in0 + io.in1
}
object Elaborate extends App {
chisel3.Driver.execute(args, () => new Top(32, 32))
}
Scalaでハードウェアを設計する
https://www.tech-diningyo.info/entry/2019/02/22/234336 より
Chisel
package examples
import chisel3._
import chisel3.util._
//A 4-bit adder with carry in and carry out
class Adder4 extends Module {
val io = IO(new Bundle {
val A = Input(UInt(4.W))
val B = Input(UInt(4.W))
val Cin = Input(UInt(1.W))
val Sum = Output(UInt(4.W))
val Cout = Output(UInt(1.W))
})
//Adder for bit 0
val Adder0 = Module(new FullAdder())
Scalaでハードウェアを設計する
Adder0.io.a := io.A(0)
Adder0.io.b := io.B(0)
Adder0.io.cin := io.Cin
val s0 = Adder0.io.sum
//Adder for bit 1
val Adder1 = Module(new FullAdder())
Adder1.io.a := io.A(1)
Adder1.io.b := io.B(1)
Adder1.io.cin := Adder0.io.cout
val s1 = Cat(Adder1.io.sum, s0)
//Adder for bit 2
val Adder2 = Module(new FullAdder())
Adder2.io.a := io.A(2)
Adder2.io.b := io.B(2)
Adder2.io.cin := Adder1.io.cout
...
https://github.com/ucb-bar/chisel-tutorial より
Chisel
package examples
import chisel3._
import chisel3.util._
class Adder(val n:Int) extends Module {
val io = IO(new Bundle {
val A = Input(UInt(4.W))
val B = Input(UInt(4.W))
val Cin = Input(UInt(1.W))
val Sum = Output(UInt(4.W))
val Cout = Output(UInt(1.W))
})
...
Scalaでハードウェアを設計する
//first carry is the top level carry in
carry(0) := io.Cin
//wire up the ports of the full adders
for (i <- 0 until n) {
FAs(i).a := io.A(i)
FAs(i).b := io.B(i)
FAs(i).cin := carry(i)
carry(i+1) := FAs(i).cout
sum(i) := FAs(i).sum.toBool()
}
io.Sum := sum.asUInt
io.Cout := carry(n)
...
https://github.com/ucb-bar/chisel-tutorial より
まとめ
• RISC-VはISAがオープンなプロセッサアーキテクチャ
• ソフトウェアを共有しやすい
• 多数のプレーヤーがRISC-V実装を提供している
• RISC-Vそのものがアプリなキライもある(ようにも見える)
• SDR的には拡張命令、が、魅力的だろうか?
• ソフトコアはRISC-Vでいいかも、という時代になるか?
• PSoCみたいなRISC-V SoCでてこないかな?
蛇足
• 僕も自分のツール(Synthesijer.Scala)でRISC-V作ろう

Contenu connexe

Tendances

Scale flux roi&amp;performance_acri
Scale flux roi&amp;performance_acriScale flux roi&amp;performance_acri
Scale flux roi&amp;performance_acri直久 住川
 
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」直久 住川
 
Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)marsee101
 
藤枝先生ご講演資料_20210824_de10
藤枝先生ご講演資料_20210824_de10藤枝先生ご講演資料_20210824_de10
藤枝先生ご講演資料_20210824_de10直久 住川
 
Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布直久 住川
 
ACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組みACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組みTakefumi MIYOSHI
 
Ac ri lt_fixstars_20210720
Ac ri lt_fixstars_20210720Ac ri lt_fixstars_20210720
Ac ri lt_fixstars_20210720直久 住川
 
Starting qt5beta at_raspberry_pi Qtnagoya#6
Starting qt5beta at_raspberry_pi Qtnagoya#6Starting qt5beta at_raspberry_pi Qtnagoya#6
Starting qt5beta at_raspberry_pi Qtnagoya#6Kazuo Asano (@kazuo_asa)
 
2017年のFPGA Community活動について
2017年のFPGA Community活動について2017年のFPGA Community活動について
2017年のFPGA Community活動についてMr. Vengineer
 
ACRi HLSチャレンジ紹介
ACRi HLSチャレンジ紹介ACRi HLSチャレンジ紹介
ACRi HLSチャレンジ紹介Jun Ando
 
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月VirtualTech Japan Inc.
 
ACRi HLSチャレンジ 高速化テクニック紹介
ACRi HLSチャレンジ 高速化テクニック紹介ACRi HLSチャレンジ 高速化テクニック紹介
ACRi HLSチャレンジ 高速化テクニック紹介Jun Ando
 
ACRiウェビナー_GIGABYTE様ご講演資料
ACRiウェビナー_GIGABYTE様ご講演資料ACRiウェビナー_GIGABYTE様ご講演資料
ACRiウェビナー_GIGABYTE様ご講演資料直久 住川
 
MEC (Mobile Edge Computing) + GPUコンピューティングについて
MEC (Mobile Edge Computing) + GPUコンピューティングについてMEC (Mobile Edge Computing) + GPUコンピューティングについて
MEC (Mobile Edge Computing) + GPUコンピューティングについてVirtualTech Japan Inc.
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMFAtomu Hidaka
 
Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)marsee101
 

Tendances (20)

Scale flux roi&amp;performance_acri
Scale flux roi&amp;performance_acriScale flux roi&amp;performance_acri
Scale flux roi&amp;performance_acri
 
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」
「招待講演:ACRi ルームの小さな FPGA ボードで何がどこまでできるか」
 
Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)Vivado hls勉強会3(axi4 lite slave)
Vivado hls勉強会3(axi4 lite slave)
 
Hyperledgerプロジェクト概観
Hyperledgerプロジェクト概観Hyperledgerプロジェクト概観
Hyperledgerプロジェクト概観
 
藤枝先生ご講演資料_20210824_de10
藤枝先生ご講演資料_20210824_de10藤枝先生ご講演資料_20210824_de10
藤枝先生ご講演資料_20210824_de10
 
PYNQ祭り
PYNQ祭りPYNQ祭り
PYNQ祭り
 
Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布Acri webn04 lt_iwi_配布
Acri webn04 lt_iwi_配布
 
ACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組みACRiルーム1年間の活動と 新たな取り組み
ACRiルーム1年間の活動と 新たな取り組み
 
Ac ri lt_fixstars_20210720
Ac ri lt_fixstars_20210720Ac ri lt_fixstars_20210720
Ac ri lt_fixstars_20210720
 
Starting qt5beta at_raspberry_pi Qtnagoya#6
Starting qt5beta at_raspberry_pi Qtnagoya#6Starting qt5beta at_raspberry_pi Qtnagoya#6
Starting qt5beta at_raspberry_pi Qtnagoya#6
 
2017年のFPGA Community活動について
2017年のFPGA Community活動について2017年のFPGA Community活動について
2017年のFPGA Community活動について
 
IPv6標準化と実装
IPv6標準化と実装IPv6標準化と実装
IPv6標準化と実装
 
ACRi HLSチャレンジ紹介
ACRi HLSチャレンジ紹介ACRi HLSチャレンジ紹介
ACRi HLSチャレンジ紹介
 
ZynqMP勉強会
ZynqMP勉強会ZynqMP勉強会
ZynqMP勉強会
 
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
OpenStack Summit Sydney Feedback (VTJ玉置) - OpenStack最新情報セミナー 2017年11月
 
ACRi HLSチャレンジ 高速化テクニック紹介
ACRi HLSチャレンジ 高速化テクニック紹介ACRi HLSチャレンジ 高速化テクニック紹介
ACRi HLSチャレンジ 高速化テクニック紹介
 
ACRiウェビナー_GIGABYTE様ご講演資料
ACRiウェビナー_GIGABYTE様ご講演資料ACRiウェビナー_GIGABYTE様ご講演資料
ACRiウェビナー_GIGABYTE様ご講演資料
 
MEC (Mobile Edge Computing) + GPUコンピューティングについて
MEC (Mobile Edge Computing) + GPUコンピューティングについてMEC (Mobile Edge Computing) + GPUコンピューティングについて
MEC (Mobile Edge Computing) + GPUコンピューティングについて
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF
 
Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)Vivado hls勉強会4(axi4 master)
Vivado hls勉強会4(axi4 master)
 

Similaire à RISC-V introduction for SIG SDR in CQ 2019.07.29

Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Takao Setaka
 
Xbyakの紹介とその周辺
Xbyakの紹介とその周辺Xbyakの紹介とその周辺
Xbyakの紹介とその周辺MITSUNARI Shigeo
 
関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPUTakuro Iizuka
 
High Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many CoreHigh Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many Coreslankdev
 
高速な暗号実装のためにしてきたこと
高速な暗号実装のためにしてきたこと高速な暗号実装のためにしてきたこと
高速な暗号実装のためにしてきたことMITSUNARI Shigeo
 
成長を加速する minne の技術基盤戦略
成長を加速する minne の技術基盤戦略成長を加速する minne の技術基盤戦略
成長を加速する minne の技術基盤戦略Hiroshi SHIBATA
 
マイクロサービス時代の生存戦略 with HashiCorp
マイクロサービス時代の生存戦略 with HashiCorpマイクロサービス時代の生存戦略 with HashiCorp
マイクロサービス時代の生存戦略 with HashiCorpMasahito Zembutsu
 
Observability, Service Mesh and Microservices
Observability, Service Mesh and MicroservicesObservability, Service Mesh and Microservices
Observability, Service Mesh and MicroservicesTaiki
 
Firefox OS and Web server
Firefox OS and Web serverFirefox OS and Web server
Firefox OS and Web serverTomoaki Konno
 
Cloud Foundry: Open Platform as a Service
Cloud Foundry: Open Platform as a ServiceCloud Foundry: Open Platform as a Service
Cloud Foundry: Open Platform as a ServiceShunsuke Kurumatani
 
AWSとGCPを使用したインフラ環境
AWSとGCPを使用したインフラ環境AWSとGCPを使用したインフラ環境
AWSとGCPを使用したインフラ環境Katsutoshi Nagaoka
 
node-handlersocket
node-handlersocketnode-handlersocket
node-handlersocketkoichik
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」Nobuyuki Tamaoki
 
仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜VirtualTech Japan Inc.
 
OpenStack with SR-IOV
OpenStack with SR-IOVOpenStack with SR-IOV
OpenStack with SR-IOVHideki Saito
 
Klocwork C/C++解析チューニング 概要
Klocwork C/C++解析チューニング 概要Klocwork C/C++解析チューニング 概要
Klocwork C/C++解析チューニング 概要Masaru Horioka
 
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...Naoya Ito
 

Similaire à RISC-V introduction for SIG SDR in CQ 2019.07.29 (20)

Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版Microsoft tech fielders_cisco_20150126_配布版
Microsoft tech fielders_cisco_20150126_配布版
 
Xbyakの紹介とその周辺
Xbyakの紹介とその周辺Xbyakの紹介とその周辺
Xbyakの紹介とその周辺
 
関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU関東GPGPU勉強会 LLVM meets GPU
関東GPGPU勉強会 LLVM meets GPU
 
High Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many CoreHigh Performance Networking with DPDK & Multi/Many Core
High Performance Networking with DPDK & Multi/Many Core
 
高速な暗号実装のためにしてきたこと
高速な暗号実装のためにしてきたこと高速な暗号実装のためにしてきたこと
高速な暗号実装のためにしてきたこと
 
Osc2010 Do LT
Osc2010 Do LTOsc2010 Do LT
Osc2010 Do LT
 
成長を加速する minne の技術基盤戦略
成長を加速する minne の技術基盤戦略成長を加速する minne の技術基盤戦略
成長を加速する minne の技術基盤戦略
 
マイクロサービス時代の生存戦略 with HashiCorp
マイクロサービス時代の生存戦略 with HashiCorpマイクロサービス時代の生存戦略 with HashiCorp
マイクロサービス時代の生存戦略 with HashiCorp
 
WalBの紹介
WalBの紹介WalBの紹介
WalBの紹介
 
Observability, Service Mesh and Microservices
Observability, Service Mesh and MicroservicesObservability, Service Mesh and Microservices
Observability, Service Mesh and Microservices
 
Firefox OS and Web server
Firefox OS and Web serverFirefox OS and Web server
Firefox OS and Web server
 
Cloud Foundry: Open Platform as a Service
Cloud Foundry: Open Platform as a ServiceCloud Foundry: Open Platform as a Service
Cloud Foundry: Open Platform as a Service
 
AWSとGCPを使用したインフラ環境
AWSとGCPを使用したインフラ環境AWSとGCPを使用したインフラ環境
AWSとGCPを使用したインフラ環境
 
node-handlersocket
node-handlersocketnode-handlersocket
node-handlersocket
 
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
OpenStack最新動向と構築のポイント - EMC様セミナー 「あなたのビジネスを高速化! OpenStackが実現する戦略的なクラウドインフラ」
 
仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜仮想化環境の設計手法 〜プロのテクニック教えます〜
仮想化環境の設計手法 〜プロのテクニック教えます〜
 
OpenStack with SR-IOV
OpenStack with SR-IOVOpenStack with SR-IOV
OpenStack with SR-IOV
 
Klocwork C/C++解析チューニング 概要
Klocwork C/C++解析チューニング 概要Klocwork C/C++解析チューニング 概要
Klocwork C/C++解析チューニング 概要
 
Kernel vm-2014-05-25
Kernel vm-2014-05-25Kernel vm-2014-05-25
Kernel vm-2014-05-25
 
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...
SmartPhone development guide with CoffeeScript + Node + HTML5 Technology, for...
 

Plus de Takefumi MIYOSHI

Plus de Takefumi MIYOSHI (20)

ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyo
 
Misc for edge_devices_with_fpga
Misc for edge_devices_with_fpgaMisc for edge_devices_with_fpga
Misc for edge_devices_with_fpga
 
Cq off 20190718
Cq off 20190718Cq off 20190718
Cq off 20190718
 
Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511Synthesijer - HLS frineds 20190511
Synthesijer - HLS frineds 20190511
 
Reconf 201901
Reconf 201901Reconf 201901
Reconf 201901
 
Hls friends 201803.key
Hls friends 201803.keyHls friends 201803.key
Hls friends 201803.key
 
Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)Abstracts of FPGA2017 papers (Temporary Version)
Abstracts of FPGA2017 papers (Temporary Version)
 
Hls friends 20161122.key
Hls friends 20161122.keyHls friends 20161122.key
Hls friends 20161122.key
 
Slide
SlideSlide
Slide
 
Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512Synthesijer and Synthesijer.Scala in HLS-friends 201512
Synthesijer and Synthesijer.Scala in HLS-friends 201512
 
Das 2015
Das 2015Das 2015
Das 2015
 
Microblaze loader
Microblaze loaderMicroblaze loader
Microblaze loader
 
Reconf 201506
Reconf 201506Reconf 201506
Reconf 201506
 
Synthesijer jjug 201504_01
Synthesijer jjug 201504_01Synthesijer jjug 201504_01
Synthesijer jjug 201504_01
 
Synthesijer zynq qs_20150316
Synthesijer zynq qs_20150316Synthesijer zynq qs_20150316
Synthesijer zynq qs_20150316
 
Synthesijer fpgax 20150201
Synthesijer fpgax 20150201Synthesijer fpgax 20150201
Synthesijer fpgax 20150201
 
Synthesijer hls 20150116
Synthesijer hls 20150116Synthesijer hls 20150116
Synthesijer hls 20150116
 
Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)Synthesijer.Scala (PROSYM 2015)
Synthesijer.Scala (PROSYM 2015)
 
ICD/CPSY 201412
ICD/CPSY 201412ICD/CPSY 201412
ICD/CPSY 201412
 
Reconf_201409
Reconf_201409Reconf_201409
Reconf_201409
 

RISC-V introduction for SIG SDR in CQ 2019.07.29