SlideShare une entreprise Scribd logo
1  sur  4
Télécharger pour lire hors ligne
ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011



                   FIR Filter Implementation by
            Systolization using DA-based Decomposition
                                            Shreeharsha K G, Rekha Bhandarkar
       Department of Electronics and Communication Engineering Alva’s Institute of Engineering and Technology,
                                        Mijar – 574 225, Moodbidri, INDIA
                                            shreeharshakg01@gmail.com
                                                  Rekha Bhandarkar
                              Department of Electronics and Communication Engineering
                                    NMAM Institute of Technology, Nitte – 574 110
                                                   Karkala, INDIA
                                          rekhabhandarkar@rediffmail.com

Abstract– In this paper we present 1D and 2D systolic                   architecture, array in particular, is replacing a single
Distributed Arithmetic (DA) based structures that are designed          Processing Element (PE) with an array of PEs or cells. Being
for the implementation of Finite Impulse Response (FIR) filters.        able to use each input data item a number of times (and thus
The paper compares the 1D DA based systolic structure with              achieving high computation throughput with only modest
1D systolic DA based decomposition method. The filters are              memory bandwidth) is one of the advantages of the systolic
implemented on a Xilinx Virtex II Pro (XC2VP30) FPGA using
                                                                        approach. They have several attractive features such as
HDL and system metrics like Area, Gate Count, Maximum
Usable Frequency and Power consumption are estimated for
                                                                        simplicity, regularity and modularity of structure [2]. In
different filter orders and address lengths. The 1D systolic            addition, they also possess significant potential to yield high-
decomposition structure is also compared with the existing              throughput rate by exploiting high-level of concurrency using
system generator implementation of DA FIR.. Results for an              pipelining or parallel processing or both.
exemplary implementation are presented.
                                                                                           II.DISTRIBUTED   ARITHMETIC
Keywords— Distributed arithmetic (DA), Field Programmable
Gate Arrays (FPGA), Finite Impulse Response (FIR) filter,                    Distributed Arithmetic (DA) is an efficient method for
systolic array.                                                         computing inner products when one of the input vectors is
                                                                        fixed[4]. It uses look-up tables and accumulators instead of
                         I.INTRODUCTION                                 multipliers for computing inner products.
                                                                            Let us consider the inner-product of two N-point vectors
    Finite Impulse Response (FIR) filters are one of the most
                                                                        A and B given by Eq. (1) as,
common components of Digital Signal Processing (DSP)
systems. FIR filtering is achieved by convolving the input
data samples with the desired unit response of the filter. Since
the complexity of implementation grows with the filter order
and the precision of computation, real-time realization of
these filters with desired level of accuracy is a challenging
task. Several attempts have, therefore, been made to develop
dedicated and reconfigurable architectures for realization of
FIR filters in Application Specific Integrated Circuits (ASIC)
and FPGA platforms. DA provides an approach for
multiplier-less implementation of FIR filters where the filter
coefficients are programmable. In other words, the same filter
structure can be used for a different set of coefficients.
    A systolic system consists of a set of interconnected cells,
each capable of performing some simple operation. Because
simple, regular communication and control structures have
substantial advantages over complicated ones in design and
implementation, cells in a systolic system are typically
interconnected to form a systolic array or a systolic tree.
Information in a systolic system flows between cells in a
pipelined fashion, and communication with the outside world
occurs only at the “boundary cells.” For example, in a systolic
array, only those cells on the array boundaries may be I/O
ports for the system[5]. The basic principle of a systolic

© 2011 ACEEE                                                       42
DOI: 01.IJIT.01.01.120
ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011


          where



for l = 0, 1, …. , L-1 and p = 0, 1, …. , P-1.
    The bit vector (bn)l,p in Eq. (4b) is used as address word
for the lookup table and F is the memory-read operation.

              III.1-D SYSTOLIC ARRAY FOR FIR FILTERS
    A linear array consisting of P number of PEs and an output
cell is shown in Fig. 1 and the function of the PEs is described
in Fig. 1(b)[3].




                                                                                            From the data presented in Table 1 and from the
                                                                                   Figures 2 to 5 it can be seen that for a given filter order N,
                                                                                   the case for M = 4 yields the most area-time efficient archi-
                                                                                   tecture when compared to the case for M = 2 and 8. This can
                                                                                   be explained by the fact that the increase in control logic
                                                                                   and number of delay elements outweighs the gains made by
                                                                                   reduction of LUT size for M = 2, while for M = 8, the memory
                                                                                   requirement of LUTs is too high [1].Frequency is also maxi-
                                                                                   mum for lower orders. Power consumption is the lowest.




Figure. 1. The 1-D array for DA-based implementation of FIR filter: (a)
Linear systolic array; (b) function of PE; and (c) function of output cell.
                       delta stands for a unit delay.

    The input sequence {x(n)} is fed to a serial-in parallel-
out input register where content of the register is serially
right shifted by one position and transferred in parallel to
the bit-serial word-parallel converter in every L cycles. The
function of the output cell is shown in Fig. 1(c). After L
cycles, it delivers a desired filter output. The structure will
yield its first filter output (L+P) cycles after the first input is
fed to the first PE, while the successive output becomes
available in every L cycles.

                           IV.IMPLEMENTATION
  This section is concerned with the description of the
implementation of the FIR filter based on conventional and
systolic decomposition of DA-based computation.




© 2011 ACEEE                                                                  43
DOI: 01.IJIT.01.01.120
ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011

                                                                                     The above shown Table 2 is the comparison results of
                                                                                 filters of different orders for 1-D systolic conventional
                                                                                 method and 1-D systolic decomposition method. The
                                                                                 decomposition method is better in all metrics for all values
                                                                                 of N, as seen from the graphs shown in Figure 6 to 9. The
                                                                                 synthesis tool used is Xilinx ISE 9.2i. The simulation tool
                                                                                 used is ModelSim XE 6.2c. The target device selected is
                                                                                 Virtex II Pro (XC2VP30).




       Figure 2. Plot of variation of Area with filter order for 1-D
                   Decomposition method for L = 8.




                                                                                 Figure 6. Plot of variation of Area with filter order for 1-D Conventional
                                                                                            method and 1-D Decomposition method for L = 8.



    Figure 3. Plot of variation of Frequency with filter order for 1-D
                   Decomposition method for L = 8.




                                                                                 Figure 7. Plot of variation of Power Consumption with filter order for 1-
                                                                                   D Conventional method and 1-D Decomposition method for L = 8.

Figure 4. Plot of variation of Power Consumption with filter order for 1-
                   D Decomposition method for L = 8.




   Figure 5. Plot of variation of Gate Count with filter order for 1-D              Figure 8. Plot of variation of Gate Count with filter order for 1-D
                   Decomposition method for L = 8.                                  Conventional method and 1-D Decomposition method for L = 8.




© 2011 ACEEE                                                                44
DOI: 01.IJIT.01.01.120
ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011




                                                                                 Figure 11. Plot of variation of Power Consumption with filter order for
                                                                                 existing system generator block and 1-D Decomposition method for L
                                                                                                                   = 8.
   Figure 9. Plot of variation of Frequency with filter order for 1-D
   Conventional method and 1-D Decomposition method for L = 8.




                                                                                   Figure 12. Hardware co-simulation of 1-D DA-based Decomposition
                                                                                                          method for L = 8.

                                                                                                          CONCLUSION
                                                                                     The project presents hardware-efficient designs for
                                                                                 computation of finite digital convolution by address
                                                                                 decomposition of DA-based inner-product computation. The
                                                                                 advantages of DA kind of implementation are its high usable
                                                                                 frequency and minimum gate count. The main advantage is
                                                                                 it overcomes the usage of multipliers. This method uses
                                                                                 adders, LUTs and shift registers.The systolic decomposition
The address length M is taken to be four for the proposed implementation.        scheme is found to offer a flexible choice of the address
          From the above shown Table 3 it is clear that the 1-                   length of the lookup tables (LUT) for DA-based computation.
D systolic decomposition method significantly outperforms                        The 1-D systolic array provides reduction in ROM size and
the existing implementations in terms of two important key                       the number of adders by several orders of magnitude
metrics, namely the frequency and power consumption for                          compared to the conventional method.
all the values of N.
                                                                                                           REFERENCES
                                                                                 [1] P. K. Meher, Shrutisagar Chandrasekaran and Abbes Amira,
                                                                                     “FPGA realization of FIR filters by efficient and flexible
                                                                                     systolization using distributed arithmetic”, IEEE Trans. signal
                                                                                     process., vol. 56, no. 7, pp. 3009-3017, July 2008.
                                                                                 [2] B.K.Mohanty, P.K.meher “Cost effective novel flexible cell-
                                                                                     level systolic architecture for high throughput implementation
                                                                                     of 2D FIR filters” IEE 1996. Technical note.
                                                                                 [3] P. K. Meher, “Hardware-efficient systolization of DA-based
                                                                                     calculation of finite digital convolution”, IEEE Trans. Circuits
                                                                                     Syst. II, Exp. Briefs, vol. 53, no. 8, pp. 707–711, Aug. 2006.
                                                                                 [4] S. A. White, “Applications of the distributed arithmetic to digital
                                                                                     signal processing: A tutorial review”, IEEE ASSP Mag., vol.
                                                                                     6, no. 3, pp. 5–19, Jul. 1989.
                                                                                 [5] H. T. Kung, “Why systolic architectures?”, IEEE Computer,
 Figure 10. Plot of variation of Frequency with filter order for existing
                                                                                     vol. 15, no. 1, pp. 37–45, Jan. 1982.
  system generator block and 1-D Decomposition method for L = 8.                 [6] R. Wyrzykowski and S. Ovramenko, “Flexible systolic
                                                                                     architecture for VLSI FIR filters”, IEE Proceedings-E,
                                                                                     vol.139,no.2,March1992

© 2011 ACEEE                                                                45
DOI: 01.IJIT.01.01.120

Contenu connexe

Tendances

IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET Journal
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...IOSR Journals
 
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...VLSICS Design
 
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...CSCJournals
 
Efficient video compression using EZWT
Efficient video compression using EZWTEfficient video compression using EZWT
Efficient video compression using EZWTIJERA Editor
 
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...ijma
 
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...CSCJournals
 
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor Design of Processing Element (PE3) for Implementing Pipeline FFT Processor
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor ijcisjournal
 
Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...ijcseit
 
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...IRJET Journal
 
Design and implementation of different audio restoration techniques for audio...
Design and implementation of different audio restoration techniques for audio...Design and implementation of different audio restoration techniques for audio...
Design and implementation of different audio restoration techniques for audio...eSAT Journals
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 

Tendances (19)

IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
IRJET- VLSI Architecture for Reversible Radix-2 FFT Algorithm using Programma...
 
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
A Novel Approach of Area-Efficient FIR Filter Design Using Distributed Arithm...
 
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...
Optimization of Cmos 0.18 µM Low Noise Amplifier Using Nsga-Ii for UWB Applic...
 
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
Noise Immune and Area Optimized Serial Interface for FPGA based Industrial In...
 
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...
Determining the Efficient Subband Coefficients of Biorthogonal Wavelet for Gr...
 
Efficient video compression using EZWT
Efficient video compression using EZWTEfficient video compression using EZWT
Efficient video compression using EZWT
 
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...
Implementation Of Grigoryan FFT For Its Performance Case Study Over Cooley-Tu...
 
Bz25454457
Bz25454457Bz25454457
Bz25454457
 
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...
Real-time DSP Implementation of Audio Crosstalk Cancellation using Mixed Unif...
 
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor Design of Processing Element (PE3) for Implementing Pipeline FFT Processor
Design of Processing Element (PE3) for Implementing Pipeline FFT Processor
 
Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...
 
Gf3511031106
Gf3511031106Gf3511031106
Gf3511031106
 
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...
Qualitative Analysis of Optical Interleave Division Multiple Access using Spe...
 
145 153
145 153145 153
145 153
 
Design and implementation of different audio restoration techniques for audio...
Design and implementation of different audio restoration techniques for audio...Design and implementation of different audio restoration techniques for audio...
Design and implementation of different audio restoration techniques for audio...
 
B046050711
B046050711B046050711
B046050711
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
40120140505005
4012014050500540120140505005
40120140505005
 

Similaire à FIR Filter Implementation by Systolization using DA-based Decomposition

FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithmcscpconf
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...Analysis of different FIR Filter Design Method in terms of Resource Utilizati...
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...ijsrd.com
 
A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...journal ijme
 
Design and Implementation of an Embedded System for Software Defined Radio
Design and Implementation of an Embedded System for Software Defined RadioDesign and Implementation of an Embedded System for Software Defined Radio
Design and Implementation of an Embedded System for Software Defined RadioIJECEIAES
 
INDUSTRIAL TRAINING REPORT
INDUSTRIAL TRAINING REPORTINDUSTRIAL TRAINING REPORT
INDUSTRIAL TRAINING REPORTABHISHEK DABRAL
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET Journal
 
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...IJREST
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLIJMER
 
FPGA Based Design of High Performance Decimator using DALUT Algorithm
FPGA Based Design of High Performance Decimator using DALUT AlgorithmFPGA Based Design of High Performance Decimator using DALUT Algorithm
FPGA Based Design of High Performance Decimator using DALUT AlgorithmIDES Editor
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter eSAT Journals
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filtereSAT Publishing House
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER) International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER) ijceronline
 

Similaire à FIR Filter Implementation by Systolization using DA-based Decomposition (20)

FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...FPGA Implementation of High Speed FIR Filters and less power consumption stru...
FPGA Implementation of High Speed FIR Filters and less power consumption stru...
 
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...Analysis of different FIR Filter Design Method in terms of Resource Utilizati...
Analysis of different FIR Filter Design Method in terms of Resource Utilizati...
 
A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...A Novel Architecture for Different DSP Applications Using Field Programmable ...
A Novel Architecture for Different DSP Applications Using Field Programmable ...
 
Design and Implementation of an Embedded System for Software Defined Radio
Design and Implementation of an Embedded System for Software Defined RadioDesign and Implementation of an Embedded System for Software Defined Radio
Design and Implementation of an Embedded System for Software Defined Radio
 
INDUSTRIAL TRAINING REPORT
INDUSTRIAL TRAINING REPORTINDUSTRIAL TRAINING REPORT
INDUSTRIAL TRAINING REPORT
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
 
Z4301132136
Z4301132136Z4301132136
Z4301132136
 
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...
Analysis of Microstrip Finger on Bandwidth of Interdigital Band Pass Filter u...
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
Design of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDLDesign of Multiplier Less 32 Tap FIR Filter using VHDL
Design of Multiplier Less 32 Tap FIR Filter using VHDL
 
241 250
241 250241 250
241 250
 
FPGA Based Design of High Performance Decimator using DALUT Algorithm
FPGA Based Design of High Performance Decimator using DALUT AlgorithmFPGA Based Design of High Performance Decimator using DALUT Algorithm
FPGA Based Design of High Performance Decimator using DALUT Algorithm
 
J010325764
J010325764J010325764
J010325764
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter
 
An fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filterAn fpga implementation of the lms adaptive filter
An fpga implementation of the lms adaptive filter
 
International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER) International Journal of Computational Engineering Research (IJCER)
International Journal of Computational Engineering Research (IJCER)
 

Plus de IDES Editor

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A ReviewIDES Editor
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...IDES Editor
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...IDES Editor
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...IDES Editor
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCIDES Editor
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...IDES Editor
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingIDES Editor
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...IDES Editor
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsIDES Editor
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...IDES Editor
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...IDES Editor
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkIDES Editor
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetIDES Editor
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyIDES Editor
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’sIDES Editor
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...IDES Editor
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance AnalysisIDES Editor
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesIDES Editor
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...IDES Editor
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...IDES Editor
 

Plus de IDES Editor (20)

Power System State Estimation - A Review
Power System State Estimation - A ReviewPower System State Estimation - A Review
Power System State Estimation - A Review
 
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...Artificial Intelligence Technique based Reactive Power Planning Incorporating...
Artificial Intelligence Technique based Reactive Power Planning Incorporating...
 
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
Design and Performance Analysis of Genetic based PID-PSS with SVC in a Multi-...
 
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
Optimal Placement of DG for Loss Reduction and Voltage Sag Mitigation in Radi...
 
Line Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFCLine Losses in the 14-Bus Power System Network using UPFC
Line Losses in the 14-Bus Power System Network using UPFC
 
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
Study of Structural Behaviour of Gravity Dam with Various Features of Gallery...
 
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric ModelingAssessing Uncertainty of Pushover Analysis to Geometric Modeling
Assessing Uncertainty of Pushover Analysis to Geometric Modeling
 
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
Secure Multi-Party Negotiation: An Analysis for Electronic Payments in Mobile...
 
Selfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive ThresholdsSelfish Node Isolation & Incentivation using Progressive Thresholds
Selfish Node Isolation & Incentivation using Progressive Thresholds
 
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
Various OSI Layer Attacks and Countermeasure to Enhance the Performance of WS...
 
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
Responsive Parameter based an AntiWorm Approach to Prevent Wormhole Attack in...
 
Cloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability FrameworkCloud Security and Data Integrity with Client Accountability Framework
Cloud Security and Data Integrity with Client Accountability Framework
 
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP BotnetGenetic Algorithm based Layered Detection and Defense of HTTP Botnet
Genetic Algorithm based Layered Detection and Defense of HTTP Botnet
 
Enhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through SteganographyEnhancing Data Storage Security in Cloud Computing Through Steganography
Enhancing Data Storage Security in Cloud Computing Through Steganography
 
Low Energy Routing for WSN’s
Low Energy Routing for WSN’sLow Energy Routing for WSN’s
Low Energy Routing for WSN’s
 
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
Permutation of Pixels within the Shares of Visual Cryptography using KBRP for...
 
Rotman Lens Performance Analysis
Rotman Lens Performance AnalysisRotman Lens Performance Analysis
Rotman Lens Performance Analysis
 
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral ImagesBand Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
Band Clustering for the Lossless Compression of AVIRIS Hyperspectral Images
 
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
Microelectronic Circuit Analogous to Hydrogen Bonding Network in Active Site ...
 
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
Texture Unit based Monocular Real-world Scene Classification using SOM and KN...
 

Dernier

Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsJoaquim Jorge
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024The Digital Insurer
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...Neo4j
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CVKhem
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024The Digital Insurer
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessPixlogix Infotech
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 

Dernier (20)

Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024Tata AIG General Insurance Company - Insurer Innovation Award 2024
Tata AIG General Insurance Company - Insurer Innovation Award 2024
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 
Advantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your BusinessAdvantages of Hiring UIUX Design Service Providers for Your Business
Advantages of Hiring UIUX Design Service Providers for Your Business
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 

FIR Filter Implementation by Systolization using DA-based Decomposition

  • 1. ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011 FIR Filter Implementation by Systolization using DA-based Decomposition Shreeharsha K G, Rekha Bhandarkar Department of Electronics and Communication Engineering Alva’s Institute of Engineering and Technology, Mijar – 574 225, Moodbidri, INDIA shreeharshakg01@gmail.com Rekha Bhandarkar Department of Electronics and Communication Engineering NMAM Institute of Technology, Nitte – 574 110 Karkala, INDIA rekhabhandarkar@rediffmail.com Abstract– In this paper we present 1D and 2D systolic architecture, array in particular, is replacing a single Distributed Arithmetic (DA) based structures that are designed Processing Element (PE) with an array of PEs or cells. Being for the implementation of Finite Impulse Response (FIR) filters. able to use each input data item a number of times (and thus The paper compares the 1D DA based systolic structure with achieving high computation throughput with only modest 1D systolic DA based decomposition method. The filters are memory bandwidth) is one of the advantages of the systolic implemented on a Xilinx Virtex II Pro (XC2VP30) FPGA using approach. They have several attractive features such as HDL and system metrics like Area, Gate Count, Maximum Usable Frequency and Power consumption are estimated for simplicity, regularity and modularity of structure [2]. In different filter orders and address lengths. The 1D systolic addition, they also possess significant potential to yield high- decomposition structure is also compared with the existing throughput rate by exploiting high-level of concurrency using system generator implementation of DA FIR.. Results for an pipelining or parallel processing or both. exemplary implementation are presented. II.DISTRIBUTED ARITHMETIC Keywords— Distributed arithmetic (DA), Field Programmable Gate Arrays (FPGA), Finite Impulse Response (FIR) filter, Distributed Arithmetic (DA) is an efficient method for systolic array. computing inner products when one of the input vectors is fixed[4]. It uses look-up tables and accumulators instead of I.INTRODUCTION multipliers for computing inner products. Let us consider the inner-product of two N-point vectors Finite Impulse Response (FIR) filters are one of the most A and B given by Eq. (1) as, common components of Digital Signal Processing (DSP) systems. FIR filtering is achieved by convolving the input data samples with the desired unit response of the filter. Since the complexity of implementation grows with the filter order and the precision of computation, real-time realization of these filters with desired level of accuracy is a challenging task. Several attempts have, therefore, been made to develop dedicated and reconfigurable architectures for realization of FIR filters in Application Specific Integrated Circuits (ASIC) and FPGA platforms. DA provides an approach for multiplier-less implementation of FIR filters where the filter coefficients are programmable. In other words, the same filter structure can be used for a different set of coefficients. A systolic system consists of a set of interconnected cells, each capable of performing some simple operation. Because simple, regular communication and control structures have substantial advantages over complicated ones in design and implementation, cells in a systolic system are typically interconnected to form a systolic array or a systolic tree. Information in a systolic system flows between cells in a pipelined fashion, and communication with the outside world occurs only at the “boundary cells.” For example, in a systolic array, only those cells on the array boundaries may be I/O ports for the system[5]. The basic principle of a systolic © 2011 ACEEE 42 DOI: 01.IJIT.01.01.120
  • 2. ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011 where for l = 0, 1, …. , L-1 and p = 0, 1, …. , P-1. The bit vector (bn)l,p in Eq. (4b) is used as address word for the lookup table and F is the memory-read operation. III.1-D SYSTOLIC ARRAY FOR FIR FILTERS A linear array consisting of P number of PEs and an output cell is shown in Fig. 1 and the function of the PEs is described in Fig. 1(b)[3]. From the data presented in Table 1 and from the Figures 2 to 5 it can be seen that for a given filter order N, the case for M = 4 yields the most area-time efficient archi- tecture when compared to the case for M = 2 and 8. This can be explained by the fact that the increase in control logic and number of delay elements outweighs the gains made by reduction of LUT size for M = 2, while for M = 8, the memory requirement of LUTs is too high [1].Frequency is also maxi- mum for lower orders. Power consumption is the lowest. Figure. 1. The 1-D array for DA-based implementation of FIR filter: (a) Linear systolic array; (b) function of PE; and (c) function of output cell. delta stands for a unit delay. The input sequence {x(n)} is fed to a serial-in parallel- out input register where content of the register is serially right shifted by one position and transferred in parallel to the bit-serial word-parallel converter in every L cycles. The function of the output cell is shown in Fig. 1(c). After L cycles, it delivers a desired filter output. The structure will yield its first filter output (L+P) cycles after the first input is fed to the first PE, while the successive output becomes available in every L cycles. IV.IMPLEMENTATION This section is concerned with the description of the implementation of the FIR filter based on conventional and systolic decomposition of DA-based computation. © 2011 ACEEE 43 DOI: 01.IJIT.01.01.120
  • 3. ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011 The above shown Table 2 is the comparison results of filters of different orders for 1-D systolic conventional method and 1-D systolic decomposition method. The decomposition method is better in all metrics for all values of N, as seen from the graphs shown in Figure 6 to 9. The synthesis tool used is Xilinx ISE 9.2i. The simulation tool used is ModelSim XE 6.2c. The target device selected is Virtex II Pro (XC2VP30). Figure 2. Plot of variation of Area with filter order for 1-D Decomposition method for L = 8. Figure 6. Plot of variation of Area with filter order for 1-D Conventional method and 1-D Decomposition method for L = 8. Figure 3. Plot of variation of Frequency with filter order for 1-D Decomposition method for L = 8. Figure 7. Plot of variation of Power Consumption with filter order for 1- D Conventional method and 1-D Decomposition method for L = 8. Figure 4. Plot of variation of Power Consumption with filter order for 1- D Decomposition method for L = 8. Figure 5. Plot of variation of Gate Count with filter order for 1-D Figure 8. Plot of variation of Gate Count with filter order for 1-D Decomposition method for L = 8. Conventional method and 1-D Decomposition method for L = 8. © 2011 ACEEE 44 DOI: 01.IJIT.01.01.120
  • 4. ACEEE Int. J. on Information Technology, Vol. 01, No. 01, Mar 2011 Figure 11. Plot of variation of Power Consumption with filter order for existing system generator block and 1-D Decomposition method for L = 8. Figure 9. Plot of variation of Frequency with filter order for 1-D Conventional method and 1-D Decomposition method for L = 8. Figure 12. Hardware co-simulation of 1-D DA-based Decomposition method for L = 8. CONCLUSION The project presents hardware-efficient designs for computation of finite digital convolution by address decomposition of DA-based inner-product computation. The advantages of DA kind of implementation are its high usable frequency and minimum gate count. The main advantage is it overcomes the usage of multipliers. This method uses adders, LUTs and shift registers.The systolic decomposition The address length M is taken to be four for the proposed implementation. scheme is found to offer a flexible choice of the address From the above shown Table 3 it is clear that the 1- length of the lookup tables (LUT) for DA-based computation. D systolic decomposition method significantly outperforms The 1-D systolic array provides reduction in ROM size and the existing implementations in terms of two important key the number of adders by several orders of magnitude metrics, namely the frequency and power consumption for compared to the conventional method. all the values of N. REFERENCES [1] P. K. Meher, Shrutisagar Chandrasekaran and Abbes Amira, “FPGA realization of FIR filters by efficient and flexible systolization using distributed arithmetic”, IEEE Trans. signal process., vol. 56, no. 7, pp. 3009-3017, July 2008. [2] B.K.Mohanty, P.K.meher “Cost effective novel flexible cell- level systolic architecture for high throughput implementation of 2D FIR filters” IEE 1996. Technical note. [3] P. K. Meher, “Hardware-efficient systolization of DA-based calculation of finite digital convolution”, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 8, pp. 707–711, Aug. 2006. [4] S. A. White, “Applications of the distributed arithmetic to digital signal processing: A tutorial review”, IEEE ASSP Mag., vol. 6, no. 3, pp. 5–19, Jul. 1989. [5] H. T. Kung, “Why systolic architectures?”, IEEE Computer, Figure 10. Plot of variation of Frequency with filter order for existing vol. 15, no. 1, pp. 37–45, Jan. 1982. system generator block and 1-D Decomposition method for L = 8. [6] R. Wyrzykowski and S. Ovramenko, “Flexible systolic architecture for VLSI FIR filters”, IEE Proceedings-E, vol.139,no.2,March1992 © 2011 ACEEE 45 DOI: 01.IJIT.01.01.120